xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICache.scala (revision 7f37d55fc418d3b4583220e634da7e459802c6d8)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package  xiangshan.frontend.icache
18
19import chisel3._
20import chisel3.util._
21import freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp}
22import freechips.rocketchip.tilelink._
23import freechips.rocketchip.util.BundleFieldBase
24import huancun.{AliasField, PrefetchField}
25import org.chipsalliance.cde.config.Parameters
26import utility._
27import utils._
28import xiangshan._
29import xiangshan.cache._
30import xiangshan.cache.mmu.TlbRequestIO
31import xiangshan.frontend._
32
33case class ICacheParameters(
34    nSets: Int = 256,
35    nWays: Int = 4,
36    rowBits: Int = 64,
37    nTLBEntries: Int = 32,
38    tagECC: Option[String] = None,
39    dataECC: Option[String] = None,
40    replacer: Option[String] = Some("random"),
41    nMissEntries: Int = 2,
42    nReleaseEntries: Int = 1,
43    nProbeEntries: Int = 2,
44    nPrefetchEntries: Int = 12,
45    nPrefBufferEntries: Int = 32,
46    hasPrefetch: Boolean = true,
47    prefetchPipeNum: Int = 1,
48    nMMIOs: Int = 1,
49    blockBytes: Int = 64
50)extends L1CacheParameters {
51
52  val setBytes = nSets * blockBytes
53  val aliasBitsOpt = DCacheParameters().aliasBitsOpt //if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None
54  val reqFields: Seq[BundleFieldBase] = Seq(
55    PrefetchField(),
56    ReqSourceField()
57  ) ++ aliasBitsOpt.map(AliasField)
58  val echoFields: Seq[BundleFieldBase] = Nil
59  def tagCode: Code = Code.fromString(tagECC)
60  def dataCode: Code = Code.fromString(dataECC)
61  def replacement = ReplacementPolicy.fromString(replacer,nWays,nSets)
62}
63
64trait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst{
65  val cacheParams = icacheParameters
66  val dataCodeUnit = 16
67  val dataCodeUnitNum  = blockBits/dataCodeUnit
68
69  def highestIdxBit = log2Ceil(nSets) - 1
70  def encDataUnitBits   = cacheParams.dataCode.width(dataCodeUnit)
71  def dataCodeBits      = encDataUnitBits - dataCodeUnit
72  def dataCodeEntryBits = dataCodeBits * dataCodeUnitNum
73
74  val ICacheSets = cacheParams.nSets
75  val ICacheWays = cacheParams.nWays
76
77  val ICacheSameVPAddrLength = 12
78  val ReplaceIdWid = 5
79
80  val ICacheWordOffset = 0
81  val ICacheSetOffset = ICacheWordOffset + log2Up(blockBytes)
82  val ICacheAboveIndexOffset = ICacheSetOffset + log2Up(ICacheSets)
83  val ICacheTagOffset = ICacheAboveIndexOffset min ICacheSameVPAddrLength
84
85  def PortNumber = 2
86
87  def partWayNum = 2
88  def pWay = nWays/partWayNum
89
90  def nPrefetchEntries = cacheParams.nPrefetchEntries
91  def totalMSHRNum = PortNumber + nPrefetchEntries
92  def nIPFBufferSize   = cacheParams.nPrefBufferEntries
93  def maxIPFMoveConf   = 1 // temporary use small value to cause more "move" operation
94  def prefetchPipeNum = ICacheParameters().prefetchPipeNum
95
96  def getBits(num: Int) = log2Ceil(num).W
97
98
99  def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = {
100    val valid  = RegInit(false.B)
101    when(thisFlush)                    {valid  := false.B}
102      .elsewhen(lastFire && !lastFlush)  {valid  := true.B}
103      .elsewhen(thisFire)                 {valid  := false.B}
104    valid
105  }
106
107  def ResultHoldBypass[T<:Data](data: T, valid: Bool): T = {
108    Mux(valid, data, RegEnable(data, valid))
109  }
110
111  def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool ={
112    val bit = RegInit(false.B)
113    when(flush)                   { bit := false.B  }
114      .elsewhen(valid && !release)  { bit := true.B   }
115      .elsewhen(release)            { bit := false.B  }
116    bit || valid
117  }
118
119  def blockCounter(block: Bool, flush: Bool, threshold: Int): Bool = {
120    val counter = RegInit(0.U(log2Up(threshold + 1).W))
121    when (block) { counter := counter + 1.U }
122    when (flush) { counter := 0.U}
123    counter > threshold.U
124  }
125
126  require(isPow2(nSets), s"nSets($nSets) must be pow2")
127  require(isPow2(nWays), s"nWays($nWays) must be pow2")
128}
129
130abstract class ICacheBundle(implicit p: Parameters) extends XSBundle
131  with HasICacheParameters
132
133abstract class ICacheModule(implicit p: Parameters) extends XSModule
134  with HasICacheParameters
135
136abstract class ICacheArray(implicit p: Parameters) extends XSModule
137  with HasICacheParameters
138
139class ICacheMetadata(implicit p: Parameters) extends ICacheBundle {
140  val tag = UInt(tagBits.W)
141}
142
143object ICacheMetadata {
144  def apply(tag: Bits)(implicit p: Parameters) = {
145    val meta = Wire(new ICacheMetadata)
146    meta.tag := tag
147    meta
148  }
149}
150
151
152class ICacheMetaArray()(implicit p: Parameters) extends ICacheArray
153{
154  def onReset = ICacheMetadata(0.U)
155  val metaBits = onReset.getWidth
156  val metaEntryBits = cacheParams.tagCode.width(metaBits)
157
158  val io=IO{new Bundle{
159    val write    = Flipped(DecoupledIO(new ICacheMetaWriteBundle))
160    val read     = Flipped(DecoupledIO(new ICacheReadBundle))
161    val readResp = Output(new ICacheMetaRespBundle)
162    val cacheOp  = Flipped(new L1CacheInnerOpIO) // customized cache op port
163    val fencei   = Input(Bool())
164  }}
165
166  io.read.ready := !io.write.valid
167
168  val port_0_read_0 = io.read.valid  && !io.read.bits.vSetIdx(0)(0)
169  val port_0_read_1 = io.read.valid  &&  io.read.bits.vSetIdx(0)(0)
170  val port_1_read_1  = io.read.valid &&  io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
171  val port_1_read_0  = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
172
173  val port_0_read_0_reg = RegEnable(port_0_read_0, io.read.fire)
174  val port_0_read_1_reg = RegEnable(port_0_read_1, io.read.fire)
175  val port_1_read_1_reg = RegEnable(port_1_read_1, io.read.fire)
176  val port_1_read_0_reg = RegEnable(port_1_read_0, io.read.fire)
177
178  val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
179  val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
180  val bank_idx   = Seq(bank_0_idx, bank_1_idx)
181
182  val write_bank_0 = io.write.valid && !io.write.bits.bankIdx
183  val write_bank_1 = io.write.valid &&  io.write.bits.bankIdx
184
185  val write_meta_bits = Wire(UInt(metaEntryBits.W))
186
187  val tagArrays = (0 until 2) map { bank =>
188    val tagArray = Module(new SRAMTemplate(
189      UInt(metaEntryBits.W),
190      set=nSets/2,
191      way=nWays,
192      shouldReset = true,
193      holdRead = true,
194      singlePort = true
195    ))
196
197    //meta connection
198    if(bank == 0) {
199      tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0
200      tagArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1))
201      tagArray.io.w.req.valid := write_bank_0
202      tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
203    }
204    else {
205      tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1
206      tagArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1))
207      tagArray.io.w.req.valid := write_bank_1
208      tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
209    }
210
211    tagArray
212  }
213
214  val read_set_idx_next = RegEnable(io.read.bits.vSetIdx, io.read.fire)
215  val valid_array = RegInit(VecInit(Seq.fill(nWays)(0.U(nSets.W))))
216  val valid_metas = Wire(Vec(PortNumber, Vec(nWays, Bool())))
217  // valid read
218  (0 until PortNumber).foreach( i =>
219    (0 until nWays).foreach( way =>
220      valid_metas(i)(way) := valid_array(way)(read_set_idx_next(i))
221    ))
222  io.readResp.entryValid := valid_metas
223
224  io.read.ready := !io.write.valid && !io.fencei && tagArrays.map(_.io.r.req.ready).reduce(_&&_)
225
226  //Parity Decode
227  val read_metas = Wire(Vec(2,Vec(nWays,new ICacheMetadata())))
228  for((tagArray,i) <- tagArrays.zipWithIndex){
229    val read_meta_bits = tagArray.io.r.resp.asTypeOf(Vec(nWays,UInt(metaEntryBits.W)))
230    val read_meta_decoded = read_meta_bits.map{ way_bits => cacheParams.tagCode.decode(way_bits)}
231    val read_meta_wrong = read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.error}
232    val read_meta_corrected = VecInit(read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.corrected})
233    read_metas(i) := read_meta_corrected.asTypeOf(Vec(nWays,new ICacheMetadata()))
234    (0 until nWays).map{ w => io.readResp.errors(i)(w) := RegNext(read_meta_wrong(w)) && RegNext(RegNext(io.read.fire))}
235  }
236
237  //Parity Encode
238  val write = io.write.bits
239  write_meta_bits := cacheParams.tagCode.encode(ICacheMetadata(tag = write.phyTag).asUInt)
240
241  // valid write
242  val way_num = OHToUInt(io.write.bits.waymask)
243  when (io.write.valid) {
244    valid_array(way_num) := valid_array(way_num).bitSet(io.write.bits.virIdx, true.B)
245  }
246
247  XSPerfAccumulate("meta_refill_num", io.write.valid)
248
249  io.readResp.metaData <> DontCare
250  when(port_0_read_0_reg){
251    io.readResp.metaData(0) := read_metas(0)
252  }.elsewhen(port_0_read_1_reg){
253    io.readResp.metaData(0) := read_metas(1)
254  }
255
256  when(port_1_read_0_reg){
257    io.readResp.metaData(1) := read_metas(0)
258  }.elsewhen(port_1_read_1_reg){
259    io.readResp.metaData(1) := read_metas(1)
260  }
261
262
263  io.write.ready := true.B // TODO : has bug ? should be !io.cacheOp.req.valid
264  // deal with customized cache op
265  require(nWays <= 32)
266  io.cacheOp.resp.bits := DontCare
267  val cacheOpShouldResp = WireInit(false.B)
268  when(io.cacheOp.req.valid){
269    when(
270      CacheInstrucion.isReadTag(io.cacheOp.req.bits.opCode) ||
271      CacheInstrucion.isReadTagECC(io.cacheOp.req.bits.opCode)
272    ){
273      for (i <- 0 until 2) {
274        tagArrays(i).io.r.req.valid := true.B
275        tagArrays(i).io.r.req.bits.apply(setIdx = io.cacheOp.req.bits.index)
276      }
277      cacheOpShouldResp := true.B
278    }
279    when(CacheInstrucion.isWriteTag(io.cacheOp.req.bits.opCode)){
280      for (i <- 0 until 2) {
281        tagArrays(i).io.w.req.valid := true.B
282        tagArrays(i).io.w.req.bits.apply(
283          data = io.cacheOp.req.bits.write_tag_low,
284          setIdx = io.cacheOp.req.bits.index,
285          waymask = UIntToOH(io.cacheOp.req.bits.wayNum(4, 0))
286        )
287      }
288      cacheOpShouldResp := true.B
289    }
290    // TODO
291    // when(CacheInstrucion.isWriteTagECC(io.cacheOp.req.bits.opCode)){
292    //   for (i <- 0 until readPorts) {
293    //     array(i).io.ecc_write.valid := true.B
294    //     array(i).io.ecc_write.bits.idx := io.cacheOp.req.bits.index
295    //     array(i).io.ecc_write.bits.way_en := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0))
296    //     array(i).io.ecc_write.bits.ecc := io.cacheOp.req.bits.write_tag_ecc
297    //   }
298    //   cacheOpShouldResp := true.B
299    // }
300  }
301  io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp)
302  io.cacheOp.resp.bits.read_tag_low := Mux(io.cacheOp.resp.valid,
303    tagArrays(0).io.r.resp.asTypeOf(Vec(nWays, UInt(tagBits.W)))(io.cacheOp.req.bits.wayNum),
304    0.U
305  )
306  io.cacheOp.resp.bits.read_tag_ecc := DontCare // TODO
307  // TODO: deal with duplicated array
308
309  // fencei logic : reset valid_array
310  when (io.fencei) {
311    (0 until nWays).foreach( way =>
312      valid_array(way) := 0.U
313    )
314  }
315}
316
317
318
319class ICacheDataArray(implicit p: Parameters) extends ICacheArray
320{
321
322  def getECCFromEncUnit(encUnit: UInt) = {
323    require(encUnit.getWidth == encDataUnitBits)
324    if (encDataUnitBits == dataCodeUnit) {
325      0.U.asTypeOf(UInt(1.W))
326    } else {
327      encUnit(encDataUnitBits - 1, dataCodeUnit)
328    }
329  }
330
331  def getECCFromBlock(cacheblock: UInt) = {
332    // require(cacheblock.getWidth == blockBits)
333    VecInit((0 until dataCodeUnitNum).map { w =>
334      val unit = cacheblock(dataCodeUnit * (w + 1) - 1, dataCodeUnit * w)
335      getECCFromEncUnit(cacheParams.dataCode.encode(unit))
336    })
337  }
338
339  val io=IO{new Bundle{
340    val write    = Flipped(DecoupledIO(new ICacheDataWriteBundle))
341    val read     = Flipped(DecoupledIO(Vec(partWayNum, new ICacheReadBundle)))
342    val readResp = Output(new ICacheDataRespBundle)
343    val cacheOp  = Flipped(new L1CacheInnerOpIO) // customized cache op port
344  }}
345
346  val write_data_bits = Wire(UInt(blockBits.W))
347
348  val port_0_read_0_reg = RegEnable(io.read.valid && io.read.bits.head.port_0_read_0, io.read.fire)
349  val port_0_read_1_reg = RegEnable(io.read.valid && io.read.bits.head.port_0_read_1, io.read.fire)
350  val port_1_read_1_reg = RegEnable(io.read.valid && io.read.bits.head.port_1_read_1, io.read.fire)
351  val port_1_read_0_reg = RegEnable(io.read.valid && io.read.bits.head.port_1_read_0, io.read.fire)
352
353  val bank_0_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_0, copy.vSetIdx(0), copy.vSetIdx(1)))
354  val bank_1_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_1, copy.vSetIdx(0), copy.vSetIdx(1)))
355
356  val dataArrays = (0 until partWayNum).map{ i =>
357    val dataArray = Module(new ICachePartWayArray(
358      UInt(blockBits.W),
359      pWay,
360    ))
361
362    dataArray.io.read.req(0).valid :=  io.read.bits(i).read_bank_0 && io.read.valid
363    dataArray.io.read.req(0).bits.ridx := bank_0_idx_vec(i)(highestIdxBit,1)
364    dataArray.io.read.req(1).valid := io.read.bits(i).read_bank_1 && io.read.valid
365    dataArray.io.read.req(1).bits.ridx := bank_1_idx_vec(i)(highestIdxBit,1)
366
367
368    dataArray.io.write.valid         := io.write.valid
369    dataArray.io.write.bits.wdata    := write_data_bits
370    dataArray.io.write.bits.widx     := io.write.bits.virIdx(highestIdxBit,1)
371    dataArray.io.write.bits.wbankidx := io.write.bits.bankIdx
372    dataArray.io.write.bits.wmask    := io.write.bits.waymask.asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
373
374    dataArray
375  }
376
377  val read_datas = Wire(Vec(2,Vec(nWays,UInt(blockBits.W) )))
378
379  (0 until PortNumber).map { port =>
380    (0 until nWays).map { w =>
381      read_datas(port)(w) := dataArrays(w / pWay).io.read.resp.rdata(port).asTypeOf(Vec(pWay, UInt(blockBits.W)))(w % pWay)
382    }
383  }
384
385  io.readResp.datas(0) := Mux( port_0_read_1_reg, read_datas(1) , read_datas(0))
386  io.readResp.datas(1) := Mux( port_1_read_0_reg, read_datas(0) , read_datas(1))
387
388  val write_data_code = Wire(UInt(dataCodeEntryBits.W))
389  val write_bank_0 = WireInit(io.write.valid && !io.write.bits.bankIdx)
390  val write_bank_1 = WireInit(io.write.valid &&  io.write.bits.bankIdx)
391
392  val bank_0_idx = bank_0_idx_vec.last
393  val bank_1_idx = bank_1_idx_vec.last
394
395  val codeArrays = (0 until 2) map { i =>
396    val codeArray = Module(new SRAMTemplate(
397      UInt(dataCodeEntryBits.W),
398      set=nSets/2,
399      way=nWays,
400      shouldReset = true,
401      holdRead = true,
402      singlePort = true
403    ))
404
405    if(i == 0) {
406      codeArray.io.r.req.valid := io.read.valid && io.read.bits.last.read_bank_0
407      codeArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1))
408      codeArray.io.w.req.valid := write_bank_0
409      codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
410    }
411    else {
412      codeArray.io.r.req.valid := io.read.valid && io.read.bits.last.read_bank_1
413      codeArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1))
414      codeArray.io.w.req.valid := write_bank_1
415      codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
416    }
417
418    codeArray
419  }
420
421  io.read.ready := !io.write.valid &&
422                    dataArrays.map(_.io.read.req.map(_.ready).reduce(_&&_)).reduce(_&&_) &&
423                    codeArrays.map(_.io.r.req.ready).reduce(_ && _)
424
425  //Parity Decode
426  val read_codes = Wire(Vec(2,Vec(nWays,UInt(dataCodeEntryBits.W) )))
427  for(((dataArray,codeArray),i) <- dataArrays.zip(codeArrays).zipWithIndex){
428    read_codes(i) := codeArray.io.r.resp.asTypeOf(Vec(nWays,UInt(dataCodeEntryBits.W)))
429  }
430
431  //Parity Encode
432  val write = io.write.bits
433  val write_data = WireInit(write.data)
434  write_data_code := getECCFromBlock(write_data).asUInt
435  write_data_bits := write_data
436
437  io.readResp.codes(0) := Mux( port_0_read_1_reg, read_codes(1) , read_codes(0))
438  io.readResp.codes(1) := Mux( port_1_read_0_reg, read_codes(0) , read_codes(1))
439
440  io.write.ready := true.B
441
442  // deal with customized cache op
443  require(nWays <= 32)
444  io.cacheOp.resp.bits := DontCare
445  io.cacheOp.resp.valid := false.B
446  val cacheOpShouldResp = WireInit(false.B)
447  val dataresp = Wire(Vec(nWays,UInt(blockBits.W) ))
448  dataresp := DontCare
449  when(io.cacheOp.req.valid){
450    when(
451      CacheInstrucion.isReadData(io.cacheOp.req.bits.opCode)
452    ){
453      for (i <- 0 until partWayNum) {
454        dataArrays(i).io.read.req.zipWithIndex.map{ case(port,i) =>
455          if(i ==0) port.valid     := !io.cacheOp.req.bits.bank_num(0)
456          else      port.valid     :=  io.cacheOp.req.bits.bank_num(0)
457          port.bits.ridx := io.cacheOp.req.bits.index(highestIdxBit,1)
458        }
459      }
460      cacheOpShouldResp := dataArrays.head.io.read.req.map(_.fire).reduce(_||_)
461      dataresp :=Mux(io.cacheOp.req.bits.bank_num(0).asBool,  read_datas(1),  read_datas(0))
462    }
463    when(CacheInstrucion.isWriteData(io.cacheOp.req.bits.opCode)){
464      for (i <- 0 until partWayNum) {
465        dataArrays(i).io.write.valid := true.B
466        dataArrays(i).io.write.bits.wdata := io.cacheOp.req.bits.write_data_vec.asTypeOf(write_data.cloneType)
467        dataArrays(i).io.write.bits.wbankidx := io.cacheOp.req.bits.bank_num(0)
468        dataArrays(i).io.write.bits.widx := io.cacheOp.req.bits.index(highestIdxBit,1)
469        dataArrays(i).io.write.bits.wmask  := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)).asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
470      }
471      cacheOpShouldResp := true.B
472    }
473  }
474
475  io.cacheOp.resp.valid := RegNext(cacheOpShouldResp)
476  val numICacheLineWords = blockBits / 64
477  require(blockBits >= 64 && isPow2(blockBits))
478  for (wordIndex <- 0 until numICacheLineWords) {
479    io.cacheOp.resp.bits.read_data_vec(wordIndex) := dataresp(io.cacheOp.req.bits.wayNum(4, 0))(64*(wordIndex+1)-1, 64*wordIndex)
480  }
481
482}
483
484
485class ICacheIO(implicit p: Parameters) extends ICacheBundle
486{
487  val hartId = Input(UInt(8.W))
488  val prefetch    = Flipped(new FtqPrefechBundle)
489  val stop        = Input(Bool())
490  val fetch       = new ICacheMainPipeBundle
491  val toIFU       = Output(Bool())
492  val pmp         = Vec(PortNumber + prefetchPipeNum, new ICachePMPBundle)
493  val itlb        = Vec(PortNumber + prefetchPipeNum, new TlbRequestIO)
494  val perfInfo    = Output(new ICachePerfInfo)
495  val error       = new L1CacheErrorInfo
496  /* Cache Instruction */
497  val csr         = new L1CacheToCsrIO
498  /* CSR control signal */
499  val csr_pf_enable = Input(Bool())
500  val csr_parity_enable = Input(Bool())
501  val fencei      = Input(Bool())
502}
503
504class ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters {
505  override def shouldBeInlined: Boolean = false
506
507  val clientParameters = TLMasterPortParameters.v1(
508    Seq(TLMasterParameters.v1(
509      name = "icache",
510      sourceId = IdRange(0, cacheParams.nMissEntries + cacheParams.nPrefetchEntries),
511    )),
512    requestFields = cacheParams.reqFields,
513    echoFields = cacheParams.echoFields
514  )
515
516  val clientNode = TLClientNode(Seq(clientParameters))
517
518  lazy val module = new ICacheImp(this)
519}
520
521class ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents {
522  val io = IO(new ICacheIO)
523
524  println("ICache:")
525  println("  ICacheSets: "          + cacheParams.nSets)
526  println("  ICacheWays: "          + cacheParams.nWays)
527  println("  ICacheBanks: "         + PortNumber)
528  println("  hasPrefetch: "         + cacheParams.hasPrefetch)
529  if(cacheParams.hasPrefetch){
530    println("  nPrefetchEntries: "         + cacheParams.nPrefetchEntries)
531    println("  nPrefetchBufferEntries: " + cacheParams.nPrefBufferEntries)
532    println("  prefetchPipeNum: " + cacheParams.prefetchPipeNum)
533  }
534
535  val (bus, edge) = outer.clientNode.out.head
536
537  val metaArray         = Module(new ICacheMetaArray)
538  val dataArray         = Module(new ICacheDataArray)
539  val prefetchMetaArray = Module(new ICacheBankedMetaArray(prefetchPipeNum)) // need add 1 port for IPF filter
540  val mainPipe          = Module(new ICacheMainPipe)
541  val missUnit          = Module(new ICacheMissUnit(edge))
542  val fdipPrefetch      = Module(new FDIPPrefetch(edge))
543
544  fdipPrefetch.io.hartId            := io.hartId
545  fdipPrefetch.io.fencei            := io.fencei
546  fdipPrefetch.io.ftqReq            <> io.prefetch
547  fdipPrefetch.io.metaReadReq       <> prefetchMetaArray.io.read(0)
548  fdipPrefetch.io.metaReadResp      <> prefetchMetaArray.io.readResp(0)
549  fdipPrefetch.io.mshrInfo          <> missUnit.io.mshrInfo
550  fdipPrefetch.io.mainPipeMissInfo  <> mainPipe.io.mainPipeMissInfo
551  fdipPrefetch.io.IPFBufferRead     <> mainPipe.io.IPFBufferRead
552  fdipPrefetch.io.IPFReplacer       <> mainPipe.io.IPFReplacer
553  fdipPrefetch.io.PIQRead           <> mainPipe.io.PIQRead
554
555  // Meta Array. Priority: missUnit > fdipPrefetch
556  val meta_write_arb  = Module(new Arbiter(new ICacheMetaWriteBundle(),  2))
557  meta_write_arb.io.in(0)     <> missUnit.io.meta_write
558  meta_write_arb.io.in(1)     <> fdipPrefetch.io.metaWrite
559  meta_write_arb.io.out       <> metaArray.io.write
560
561  // Data Array. Priority: missUnit > fdipPrefetch
562  val data_write_arb = Module(new Arbiter(new ICacheDataWriteBundle(), 2))
563  data_write_arb.io.in(0)     <> missUnit.io.data_write
564  data_write_arb.io.in(1)     <> fdipPrefetch.io.dataWrite
565  data_write_arb.io.out       <> dataArray.io.write
566
567  // prefetch Meta Array. Connect meta_write_arb to ensure the data is same as metaArray
568  prefetchMetaArray.io.write <> meta_write_arb.io.out
569
570  mainPipe.io.dataArray.toIData     <> dataArray.io.read
571  mainPipe.io.dataArray.fromIData   <> dataArray.io.readResp
572  mainPipe.io.metaArray.toIMeta     <> metaArray.io.read
573  mainPipe.io.metaArray.fromIMeta   <> metaArray.io.readResp
574  mainPipe.io.metaArray.fromIMeta   <> metaArray.io.readResp
575  mainPipe.io.respStall             := io.stop
576  mainPipe.io.csr_parity_enable     := io.csr_parity_enable
577  mainPipe.io.hartId                := io.hartId
578
579  io.pmp(0) <> mainPipe.io.pmp(0)
580  io.pmp(1) <> mainPipe.io.pmp(1)
581  if(cacheParams.hasPrefetch) {
582    io.pmp(2) <> fdipPrefetch.io.pmp
583  }
584
585  io.itlb(0)        <>    mainPipe.io.itlb(0)
586  io.itlb(1)        <>    mainPipe.io.itlb(1)
587  if(cacheParams.hasPrefetch) {
588    io.itlb(2) <> fdipPrefetch.io.iTLBInter
589  }
590
591  //notify IFU that Icache pipeline is available
592  io.toIFU := mainPipe.io.fetch.req.ready
593  io.perfInfo := mainPipe.io.perfInfo
594
595  io.fetch.resp     <>    mainPipe.io.fetch.resp
596  io.fetch.topdownIcacheMiss := mainPipe.io.fetch.topdownIcacheMiss
597  io.fetch.topdownItlbMiss   := mainPipe.io.fetch.topdownItlbMiss
598
599  for(i <- 0 until PortNumber){
600    missUnit.io.req(i)           <>   mainPipe.io.mshr(i).toMSHR
601    mainPipe.io.mshr(i).fromMSHR <>   missUnit.io.resp(i)
602  }
603
604  missUnit.io.hartId       := io.hartId
605  missUnit.io.fencei       := io.fencei
606  missUnit.io.fdip_acquire <> fdipPrefetch.io.mem_acquire
607  missUnit.io.fdip_grant   <> fdipPrefetch.io.mem_grant
608
609  bus.b.ready := false.B
610  bus.c.valid := false.B
611  bus.c.bits  := DontCare
612  bus.e.valid := false.B
613  bus.e.bits  := DontCare
614
615  bus.a <> missUnit.io.mem_acquire
616
617  // connect bus d
618  missUnit.io.mem_grant.valid := false.B
619  missUnit.io.mem_grant.bits  := DontCare
620
621  //Parity error port
622  val errors = mainPipe.io.errors
623  io.error <> RegNext(Mux1H(errors.map(e => e.valid -> e)))
624
625
626  mainPipe.io.fetch.req <> io.fetch.req
627  bus.d.ready := false.B
628  missUnit.io.mem_grant <> bus.d
629
630  // fencei connect
631  metaArray.io.fencei := io.fencei
632  prefetchMetaArray.io.fencei := io.fencei
633
634  val perfEvents = Seq(
635    ("icache_miss_cnt  ", false.B),
636    ("icache_miss_penty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)),
637  )
638  generatePerfEvent()
639
640  // Customized csr cache op support
641  val cacheOpDecoder = Module(new CSRCacheOpDecoder("icache", CacheInstrucion.COP_ID_ICACHE))
642  cacheOpDecoder.io.csr <> io.csr
643  dataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
644  metaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
645  prefetchMetaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
646  cacheOpDecoder.io.cache.resp.valid :=
647    dataArray.io.cacheOp.resp.valid ||
648    metaArray.io.cacheOp.resp.valid
649  cacheOpDecoder.io.cache.resp.bits := Mux1H(List(
650    dataArray.io.cacheOp.resp.valid -> dataArray.io.cacheOp.resp.bits,
651    metaArray.io.cacheOp.resp.valid -> metaArray.io.cacheOp.resp.bits,
652  ))
653  cacheOpDecoder.io.error := io.error
654  assert(!((dataArray.io.cacheOp.resp.valid +& metaArray.io.cacheOp.resp.valid) > 1.U))
655}
656
657class ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
658  extends ICacheBundle
659{
660  val req = Flipped(Vec(PortNumber, Decoupled(new Bundle{
661    val ridx = UInt((log2Ceil(nSets) - 1).W)
662  })))
663  val resp = Output(new Bundle{
664    val rdata  = Vec(PortNumber,Vec(pWay, gen))
665  })
666}
667
668class ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
669  extends ICacheBundle
670{
671  val wdata = gen
672  val widx = UInt((log2Ceil(nSets) - 1).W)
673  val wbankidx = Bool()
674  val wmask = Vec(pWay, Bool())
675}
676
677class ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray
678{
679
680  //including part way data
681  val io = IO{new Bundle {
682    val read      = new  ICachePartWayReadBundle(gen,pWay)
683    val write     = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay)))
684  }}
685
686  io.read.req.map(_.ready := !io.write.valid)
687
688  val srams = (0 until PortNumber) map { bank =>
689    val sramBank = Module(new SRAMTemplate(
690      gen,
691      set=nSets/2,
692      way=pWay,
693      shouldReset = true,
694      holdRead = true,
695      singlePort = true
696    ))
697
698    sramBank.io.r.req.valid := io.read.req(bank).valid
699    sramBank.io.r.req.bits.apply(setIdx= io.read.req(bank).bits.ridx)
700
701    if(bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx
702    else sramBank.io.w.req.valid := io.write.valid && io.write.bits.wbankidx
703    sramBank.io.w.req.bits.apply(data=io.write.bits.wdata, setIdx=io.write.bits.widx, waymask=io.write.bits.wmask.asUInt)
704
705    sramBank
706  }
707
708  io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_&&_))
709
710  io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay,gen))))
711
712}
713