11d8f4dcbSJay/*************************************************************************************** 2e3da8badSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 3e3da8badSTang Haojin* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences 41d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 51d8f4dcbSJay* 61d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 71d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 81d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 91d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 101d8f4dcbSJay* 111d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 121d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 131d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 141d8f4dcbSJay* 151d8f4dcbSJay* See the Mulan PSL v2 for more details. 16c49ebec8SHaoyuan Feng* 17c49ebec8SHaoyuan Feng* 18c49ebec8SHaoyuan Feng* Acknowledgement 19c49ebec8SHaoyuan Feng* 20c49ebec8SHaoyuan Feng* This implementation is inspired by several key papers: 21c49ebec8SHaoyuan Feng* [1] Glenn Reinman, Brad Calder, and Todd Austin. "[Fetch directed instruction prefetching.] 22c49ebec8SHaoyuan Feng* (https://doi.org/10.1109/MICRO.1999.809439)" 32nd Annual ACM/IEEE International Symposium on Microarchitecture 23c49ebec8SHaoyuan Feng* (MICRO). 1999. 241d8f4dcbSJay***************************************************************************************/ 251d8f4dcbSJay 261d8f4dcbSJaypackage xiangshan.frontend.icache 271d8f4dcbSJay 281d8f4dcbSJayimport chisel3._ 297f37d55fSTang Haojinimport chisel3.util._ 30cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.IdRange 31cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModule 32cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModuleImp 331d8f4dcbSJayimport freechips.rocketchip.tilelink._ 341d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase 35cf7d6b7aSMuziimport huancun.AliasField 36cf7d6b7aSMuziimport huancun.PrefetchField 377f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters 383c02ee8fSwakafaimport utility._ 397f37d55fSTang Haojinimport xiangshan._ 407f37d55fSTang Haojinimport xiangshan.cache._ 417f37d55fSTang Haojinimport xiangshan.cache.mmu.TlbRequestIO 427f37d55fSTang Haojinimport xiangshan.frontend._ 431d8f4dcbSJay 441d8f4dcbSJaycase class ICacheParameters( 451d8f4dcbSJay nSets: Int = 256, 4676b0dfefSGuokai Chen nWays: Int = 4, 471d8f4dcbSJay rowBits: Int = 64, 481d8f4dcbSJay nTLBEntries: Int = 32, 491d8f4dcbSJay tagECC: Option[String] = None, 501d8f4dcbSJay dataECC: Option[String] = None, 511d8f4dcbSJay replacer: Option[String] = Some("random"), 52b92f8445Sssszwic PortNumber: Int = 2, 53b92f8445Sssszwic nFetchMshr: Int = 4, 54b92f8445Sssszwic nPrefetchMshr: Int = 10, 55b92f8445Sssszwic nWayLookupSize: Int = 32, 56b92f8445Sssszwic DataCodeUnit: Int = 64, 57b92f8445Sssszwic ICacheDataBanks: Int = 8, 58b92f8445Sssszwic ICacheDataSRAMWidth: Int = 66, 59b92f8445Sssszwic // TODO: hard code, need delete 60b92f8445Sssszwic partWayNum: Int = 4, 611d8f4dcbSJay nMMIOs: Int = 1, 621d8f4dcbSJay blockBytes: Int = 64 631d8f4dcbSJay) extends L1CacheParameters { 641d8f4dcbSJay 65415fcbe2Sxu_zh val setBytes: Int = nSets * blockBytes 66415fcbe2Sxu_zh val aliasBitsOpt: Option[Int] = Option.when(setBytes > pageSize)(log2Ceil(setBytes / pageSize)) 671d8f4dcbSJay val reqFields: Seq[BundleFieldBase] = Seq( 68d2b20d1aSTang Haojin PrefetchField(), 69d2b20d1aSTang Haojin ReqSourceField() 701d8f4dcbSJay ) ++ aliasBitsOpt.map(AliasField) 7115ee59e4Swakafa val echoFields: Seq[BundleFieldBase] = Nil 721d8f4dcbSJay def tagCode: Code = Code.fromString(tagECC) 731d8f4dcbSJay def dataCode: Code = Code.fromString(dataECC) 741d8f4dcbSJay def replacement = ReplacementPolicy.fromString(replacer, nWays, nSets) 751d8f4dcbSJay} 761d8f4dcbSJay 771d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst { 78415fcbe2Sxu_zh val cacheParams: ICacheParameters = icacheParameters 791d8f4dcbSJay 80415fcbe2Sxu_zh def ICacheSets: Int = cacheParams.nSets 81415fcbe2Sxu_zh def ICacheWays: Int = cacheParams.nWays 82415fcbe2Sxu_zh def PortNumber: Int = cacheParams.PortNumber 83415fcbe2Sxu_zh def nFetchMshr: Int = cacheParams.nFetchMshr 84415fcbe2Sxu_zh def nPrefetchMshr: Int = cacheParams.nPrefetchMshr 85415fcbe2Sxu_zh def nWayLookupSize: Int = cacheParams.nWayLookupSize 86415fcbe2Sxu_zh def DataCodeUnit: Int = cacheParams.DataCodeUnit 87415fcbe2Sxu_zh def ICacheDataBanks: Int = cacheParams.ICacheDataBanks 88415fcbe2Sxu_zh def ICacheDataSRAMWidth: Int = cacheParams.ICacheDataSRAMWidth 89415fcbe2Sxu_zh def partWayNum: Int = cacheParams.partWayNum 90b92f8445Sssszwic 91415fcbe2Sxu_zh def ICacheMetaBits: Int = tagBits // FIXME: unportable: maybe use somemethod to get width 92415fcbe2Sxu_zh def ICacheMetaCodeBits: Int = 1 // FIXME: unportable: maybe use cacheParams.tagCode.somemethod to get width 93415fcbe2Sxu_zh def ICacheMetaEntryBits: Int = ICacheMetaBits + ICacheMetaCodeBits 948966a895Sxu_zh 95415fcbe2Sxu_zh def ICacheDataBits: Int = blockBits / ICacheDataBanks 96415fcbe2Sxu_zh def ICacheDataCodeSegs: Int = 97415fcbe2Sxu_zh math.ceil(ICacheDataBits / DataCodeUnit).toInt // split data to segments for ECC checking 98415fcbe2Sxu_zh def ICacheDataCodeBits: Int = 99cf7d6b7aSMuzi ICacheDataCodeSegs * 1 // FIXME: unportable: maybe use cacheParams.dataCode.somemethod to get width 100415fcbe2Sxu_zh def ICacheDataEntryBits: Int = ICacheDataBits + ICacheDataCodeBits 101415fcbe2Sxu_zh def ICacheBankVisitNum: Int = 32 * 8 / ICacheDataBits + 1 102415fcbe2Sxu_zh def highestIdxBit: Int = log2Ceil(nSets) - 1 1031d8f4dcbSJay 104b92f8445Sssszwic require((ICacheDataBanks >= 2) && isPow2(ICacheDataBanks)) 1058966a895Sxu_zh require(ICacheDataSRAMWidth >= ICacheDataEntryBits) 106b92f8445Sssszwic require(isPow2(ICacheSets), s"nSets($ICacheSets) must be pow2") 107b92f8445Sssszwic require(isPow2(ICacheWays), s"nWays($ICacheWays) must be pow2") 1081d8f4dcbSJay 1092a25dbb4SJay def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = { 1102a25dbb4SJay val valid = RegInit(false.B) 111cf7d6b7aSMuzi when(thisFlush)(valid := false.B) 112cf7d6b7aSMuzi .elsewhen(lastFire && !lastFlush)(valid := true.B) 113cf7d6b7aSMuzi .elsewhen(thisFire)(valid := false.B) 1142a25dbb4SJay valid 1152a25dbb4SJay } 1162a25dbb4SJay 117cf7d6b7aSMuzi def ResultHoldBypass[T <: Data](data: T, valid: Bool): T = 1182a25dbb4SJay Mux(valid, data, RegEnable(data, valid)) 1192a25dbb4SJay 120cf7d6b7aSMuzi def ResultHoldBypass[T <: Data](data: T, init: T, valid: Bool): T = 121b92f8445Sssszwic Mux(valid, data, RegEnable(data, init, valid)) 122b92f8445Sssszwic 123b1ded4e8Sguohongyu def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool = { 124b1ded4e8Sguohongyu val bit = RegInit(false.B) 125cf7d6b7aSMuzi when(flush)(bit := false.B) 126cf7d6b7aSMuzi .elsewhen(valid && !release)(bit := true.B) 127cf7d6b7aSMuzi .elsewhen(release)(bit := false.B) 128b1ded4e8Sguohongyu bit || valid 129b1ded4e8Sguohongyu } 130b1ded4e8Sguohongyu 1315470b21eSguohongyu def blockCounter(block: Bool, flush: Bool, threshold: Int): Bool = { 1325470b21eSguohongyu val counter = RegInit(0.U(log2Up(threshold + 1).W)) 133cf7d6b7aSMuzi when(block)(counter := counter + 1.U) 134cf7d6b7aSMuzi when(flush)(counter := 0.U) 1355470b21eSguohongyu counter > threshold.U 1365470b21eSguohongyu } 1375470b21eSguohongyu 138cf7d6b7aSMuzi def InitQueue[T <: Data](entry: T, size: Int): Vec[T] = 139415fcbe2Sxu_zh RegInit(VecInit(Seq.fill(size)(0.U.asTypeOf(entry.cloneType)))) 14058c354d0Sssszwic 1418966a895Sxu_zh def encodeMetaECC(meta: UInt): UInt = { 1428966a895Sxu_zh require(meta.getWidth == ICacheMetaBits) 1438966a895Sxu_zh val code = cacheParams.tagCode.encode(meta) >> ICacheMetaBits 1448966a895Sxu_zh code.asTypeOf(UInt(ICacheMetaCodeBits.W)) 1458966a895Sxu_zh } 1468966a895Sxu_zh 1478966a895Sxu_zh def encodeDataECC(data: UInt): UInt = { 1488966a895Sxu_zh require(data.getWidth == ICacheDataBits) 1498966a895Sxu_zh val datas = data.asTypeOf(Vec(ICacheDataCodeSegs, UInt((ICacheDataBits / ICacheDataCodeSegs).W))) 1508966a895Sxu_zh val codes = VecInit(datas.map(cacheParams.dataCode.encode(_) >> (ICacheDataBits / ICacheDataCodeSegs))) 1518966a895Sxu_zh codes.asTypeOf(UInt(ICacheDataCodeBits.W)) 152b92f8445Sssszwic } 15358c354d0Sssszwic 154b92f8445Sssszwic def getBankSel(blkOffset: UInt, valid: Bool = true.B): Vec[UInt] = { 155415fcbe2Sxu_zh val bankIdxLow = (Cat(0.U(1.W), blkOffset) >> log2Ceil(blockBytes / ICacheDataBanks)).asUInt 156415fcbe2Sxu_zh val bankIdxHigh = ((Cat(0.U(1.W), blkOffset) + 32.U) >> log2Ceil(blockBytes / ICacheDataBanks)).asUInt 157b92f8445Sssszwic val bankSel = VecInit((0 until ICacheDataBanks * 2).map(i => (i.U >= bankIdxLow) && (i.U <= bankIdxHigh))) 158cf7d6b7aSMuzi assert( 159cf7d6b7aSMuzi !valid || PopCount(bankSel) === ICacheBankVisitNum.U, 160cf7d6b7aSMuzi "The number of bank visits must be %d, but bankSel=0x%x", 161cf7d6b7aSMuzi ICacheBankVisitNum.U, 162cf7d6b7aSMuzi bankSel.asUInt 163cf7d6b7aSMuzi ) 164b92f8445Sssszwic bankSel.asTypeOf(UInt((ICacheDataBanks * 2).W)).asTypeOf(Vec(2, UInt(ICacheDataBanks.W))) 165b92f8445Sssszwic } 166b92f8445Sssszwic 167415fcbe2Sxu_zh def getLineSel(blkOffset: UInt): Vec[Bool] = { 168415fcbe2Sxu_zh val bankIdxLow = (blkOffset >> log2Ceil(blockBytes / ICacheDataBanks)).asUInt 169b92f8445Sssszwic val lineSel = VecInit((0 until ICacheDataBanks).map(i => i.U < bankIdxLow)) 170b92f8445Sssszwic lineSel 171b92f8445Sssszwic } 172b92f8445Sssszwic 173415fcbe2Sxu_zh def getBlkAddr(addr: UInt): UInt = (addr >> blockOffBits).asUInt 174415fcbe2Sxu_zh def getPhyTagFromBlk(addr: UInt): UInt = (addr >> (pgUntagBits - blockOffBits)).asUInt 175415fcbe2Sxu_zh def getIdxFromBlk(addr: UInt): UInt = addr(idxBits - 1, 0) 176415fcbe2Sxu_zh def getPaddrFromPtag(vaddr: UInt, ptag: UInt): UInt = Cat(ptag, vaddr(pgUntagBits - 1, 0)) 177415fcbe2Sxu_zh def getPaddrFromPtag(vaddrVec: Vec[UInt], ptagVec: Vec[UInt]): Vec[UInt] = 178415fcbe2Sxu_zh VecInit((vaddrVec zip ptagVec).map { case (vaddr, ptag) => getPaddrFromPtag(vaddr, ptag) }) 1791d8f4dcbSJay} 1801d8f4dcbSJay 1811d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle 1821d8f4dcbSJay with HasICacheParameters 1831d8f4dcbSJay 1841d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule 1851d8f4dcbSJay with HasICacheParameters 1861d8f4dcbSJay 1871d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule 1881d8f4dcbSJay with HasICacheParameters 1891d8f4dcbSJay 1901d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle { 191415fcbe2Sxu_zh val tag: UInt = UInt(tagBits.W) 1921d8f4dcbSJay} 1931d8f4dcbSJay 1941d8f4dcbSJayobject ICacheMetadata { 195415fcbe2Sxu_zh def apply(tag: Bits)(implicit p: Parameters): ICacheMetadata = { 1969442775eSguohongyu val meta = Wire(new ICacheMetadata) 1971d8f4dcbSJay meta.tag := tag 1981d8f4dcbSJay meta 1991d8f4dcbSJay } 2001d8f4dcbSJay} 2011d8f4dcbSJay 202415fcbe2Sxu_zhclass ICacheMetaArrayIO(implicit p: Parameters) extends ICacheBundle { 203415fcbe2Sxu_zh val write: DecoupledIO[ICacheMetaWriteBundle] = Flipped(DecoupledIO(new ICacheMetaWriteBundle)) 204415fcbe2Sxu_zh val read: DecoupledIO[ICacheReadBundle] = Flipped(DecoupledIO(new ICacheReadBundle)) 205415fcbe2Sxu_zh val readResp: ICacheMetaRespBundle = Output(new ICacheMetaRespBundle) 206415fcbe2Sxu_zh val flush: Vec[Valid[ICacheMetaFlushBundle]] = Vec(PortNumber, Flipped(ValidIO(new ICacheMetaFlushBundle))) 207415fcbe2Sxu_zh val flushAll: Bool = Input(Bool()) 208415fcbe2Sxu_zh} 209415fcbe2Sxu_zh 210415fcbe2Sxu_zhclass ICacheMetaArray(implicit p: Parameters) extends ICacheArray { 2118966a895Sxu_zh class ICacheMetaEntry(implicit p: Parameters) extends ICacheBundle { 2128966a895Sxu_zh val meta: ICacheMetadata = new ICacheMetadata 2138966a895Sxu_zh val code: UInt = UInt(ICacheMetaCodeBits.W) 2148966a895Sxu_zh } 2151d8f4dcbSJay 2168966a895Sxu_zh private object ICacheMetaEntry { 2178966a895Sxu_zh def apply(meta: ICacheMetadata)(implicit p: Parameters): ICacheMetaEntry = { 2188966a895Sxu_zh val entry = Wire(new ICacheMetaEntry) 2198966a895Sxu_zh entry.meta := meta 2208966a895Sxu_zh entry.code := encodeMetaECC(meta.asUInt) 2218966a895Sxu_zh entry 2228966a895Sxu_zh } 2238966a895Sxu_zh } 2248966a895Sxu_zh 2258966a895Sxu_zh // sanity check 2268966a895Sxu_zh require(ICacheMetaEntryBits == (new ICacheMetaEntry).getWidth) 2278966a895Sxu_zh 228415fcbe2Sxu_zh val io: ICacheMetaArrayIO = IO(new ICacheMetaArrayIO) 229afed18b5SJenius 230415fcbe2Sxu_zh private val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0) 231415fcbe2Sxu_zh private val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0) 232415fcbe2Sxu_zh private val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 233415fcbe2Sxu_zh private val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 234afed18b5SJenius 235415fcbe2Sxu_zh private val port_0_read_0_reg = RegEnable(port_0_read_0, 0.U.asTypeOf(port_0_read_0), io.read.fire) 236415fcbe2Sxu_zh private val port_0_read_1_reg = RegEnable(port_0_read_1, 0.U.asTypeOf(port_0_read_1), io.read.fire) 237415fcbe2Sxu_zh private val port_1_read_1_reg = RegEnable(port_1_read_1, 0.U.asTypeOf(port_1_read_1), io.read.fire) 238415fcbe2Sxu_zh private val port_1_read_0_reg = RegEnable(port_1_read_0, 0.U.asTypeOf(port_1_read_0), io.read.fire) 239afed18b5SJenius 240415fcbe2Sxu_zh private val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 241415fcbe2Sxu_zh private val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 242afed18b5SJenius 243415fcbe2Sxu_zh private val write_bank_0 = io.write.valid && !io.write.bits.bankIdx 244415fcbe2Sxu_zh private val write_bank_1 = io.write.valid && io.write.bits.bankIdx 2451d8f4dcbSJay 246415fcbe2Sxu_zh private val write_meta_bits = ICacheMetaEntry(meta = 247cf7d6b7aSMuzi ICacheMetadata( 2488966a895Sxu_zh tag = io.write.bits.phyTag 249cf7d6b7aSMuzi ) 250cf7d6b7aSMuzi ) 2511d8f4dcbSJay 252415fcbe2Sxu_zh private val tagArrays = (0 until PortNumber) map { bank => 253afed18b5SJenius val tagArray = Module(new SRAMTemplate( 2548966a895Sxu_zh new ICacheMetaEntry(), 255415fcbe2Sxu_zh set = nSets / PortNumber, 256afed18b5SJenius way = nWays, 257afed18b5SJenius shouldReset = true, 258afed18b5SJenius holdRead = true, 25939d55402Spengxiao singlePort = true, 26039d55402Spengxiao withClockGate = true 2611d8f4dcbSJay )) 2621d8f4dcbSJay 263afed18b5SJenius // meta connection 264afed18b5SJenius if (bank == 0) { 265afed18b5SJenius tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0 266afed18b5SJenius tagArray.io.r.req.bits.apply(setIdx = bank_0_idx(highestIdxBit, 1)) 267afed18b5SJenius tagArray.io.w.req.valid := write_bank_0 268cf7d6b7aSMuzi tagArray.io.w.req.bits.apply( 269cf7d6b7aSMuzi data = write_meta_bits, 270cf7d6b7aSMuzi setIdx = io.write.bits.virIdx(highestIdxBit, 1), 271cf7d6b7aSMuzi waymask = io.write.bits.waymask 272cf7d6b7aSMuzi ) 273cf7d6b7aSMuzi } else { 274afed18b5SJenius tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1 275afed18b5SJenius tagArray.io.r.req.bits.apply(setIdx = bank_1_idx(highestIdxBit, 1)) 276afed18b5SJenius tagArray.io.w.req.valid := write_bank_1 277cf7d6b7aSMuzi tagArray.io.w.req.bits.apply( 278cf7d6b7aSMuzi data = write_meta_bits, 279cf7d6b7aSMuzi setIdx = io.write.bits.virIdx(highestIdxBit, 1), 280cf7d6b7aSMuzi waymask = io.write.bits.waymask 281cf7d6b7aSMuzi ) 282afed18b5SJenius } 2831d8f4dcbSJay 2841d8f4dcbSJay tagArray 2851d8f4dcbSJay } 286b37bce8eSJinYue 287415fcbe2Sxu_zh private val read_set_idx_next = RegEnable(io.read.bits.vSetIdx, 0.U.asTypeOf(io.read.bits.vSetIdx), io.read.fire) 288415fcbe2Sxu_zh private val valid_array = RegInit(VecInit(Seq.fill(nWays)(0.U(nSets.W)))) 289415fcbe2Sxu_zh private val valid_metas = Wire(Vec(PortNumber, Vec(nWays, Bool()))) 29060672d5eSguohongyu // valid read 29160672d5eSguohongyu (0 until PortNumber).foreach(i => 29260672d5eSguohongyu (0 until nWays).foreach(way => 29360672d5eSguohongyu valid_metas(i)(way) := valid_array(way)(read_set_idx_next(i)) 294cf7d6b7aSMuzi ) 295cf7d6b7aSMuzi ) 29660672d5eSguohongyu io.readResp.entryValid := valid_metas 29760672d5eSguohongyu 298e39d6828Sxu_zh io.read.ready := !io.write.valid && !io.flush.map(_.valid).reduce(_ || _) && !io.flushAll && 299e39d6828Sxu_zh tagArrays.map(_.io.r.req.ready).reduce(_ && _) 300afed18b5SJenius 30160672d5eSguohongyu // valid write 302415fcbe2Sxu_zh private val way_num = OHToUInt(io.write.bits.waymask) 30360672d5eSguohongyu when(io.write.valid) { 3049442775eSguohongyu valid_array(way_num) := valid_array(way_num).bitSet(io.write.bits.virIdx, true.B) 30560672d5eSguohongyu } 3061d8f4dcbSJay 3079442775eSguohongyu XSPerfAccumulate("meta_refill_num", io.write.valid) 3089442775eSguohongyu 3098966a895Sxu_zh io.readResp.metas <> DontCare 3108966a895Sxu_zh io.readResp.codes <> DontCare 311415fcbe2Sxu_zh private val readMetaEntries = tagArrays.map(port => port.io.r.resp.asTypeOf(Vec(nWays, new ICacheMetaEntry()))) 312415fcbe2Sxu_zh private val readMetas = readMetaEntries.map(_.map(_.meta)) 313415fcbe2Sxu_zh private val readCodes = readMetaEntries.map(_.map(_.code)) 3148966a895Sxu_zh 3158966a895Sxu_zh // TEST: force ECC to fail by setting readCodes to 0 3168966a895Sxu_zh if (ICacheForceMetaECCError) { 3178966a895Sxu_zh readCodes.foreach(_.foreach(_ := 0.U)) 3188966a895Sxu_zh } 3198966a895Sxu_zh 3201d8f4dcbSJay when(port_0_read_0_reg) { 3218966a895Sxu_zh io.readResp.metas(0) := readMetas(0) 3228966a895Sxu_zh io.readResp.codes(0) := readCodes(0) 3231d8f4dcbSJay }.elsewhen(port_0_read_1_reg) { 3248966a895Sxu_zh io.readResp.metas(0) := readMetas(1) 3258966a895Sxu_zh io.readResp.codes(0) := readCodes(1) 3261d8f4dcbSJay } 3271d8f4dcbSJay 3281d8f4dcbSJay when(port_1_read_0_reg) { 3298966a895Sxu_zh io.readResp.metas(1) := readMetas(0) 3308966a895Sxu_zh io.readResp.codes(1) := readCodes(0) 3311d8f4dcbSJay }.elsewhen(port_1_read_1_reg) { 3328966a895Sxu_zh io.readResp.metas(1) := readMetas(1) 3338966a895Sxu_zh io.readResp.codes(1) := readCodes(1) 3341d8f4dcbSJay } 3351d8f4dcbSJay 3360c26d810Sguohongyu io.write.ready := true.B // TODO : has bug ? should be !io.cacheOp.req.valid 3372a6078bfSguohongyu 338e39d6828Sxu_zh /* 339e39d6828Sxu_zh * flush logic 340e39d6828Sxu_zh */ 341e39d6828Sxu_zh // flush standalone set (e.g. flushed by mainPipe before doing re-fetch) 342e39d6828Sxu_zh when(io.flush.map(_.valid).reduce(_ || _)) { 343e39d6828Sxu_zh (0 until nWays).foreach { w => 344e39d6828Sxu_zh valid_array(w) := (0 until PortNumber).map { i => 345e39d6828Sxu_zh Mux( 346e39d6828Sxu_zh // check if set `virIdx` in way `w` is requested to be flushed by port `i` 347e39d6828Sxu_zh io.flush(i).valid && io.flush(i).bits.waymask(w), 348e39d6828Sxu_zh valid_array(w).bitSet(io.flush(i).bits.virIdx, false.B), 349e39d6828Sxu_zh valid_array(w) 3502a6078bfSguohongyu ) 351e39d6828Sxu_zh }.reduce(_ & _) 3522a6078bfSguohongyu } 3531d8f4dcbSJay } 3541d8f4dcbSJay 355e39d6828Sxu_zh // flush all (e.g. fence.i) 356e39d6828Sxu_zh when(io.flushAll) { 357e39d6828Sxu_zh (0 until nWays).foreach(w => valid_array(w) := 0.U) 358e39d6828Sxu_zh } 359e39d6828Sxu_zh 360e39d6828Sxu_zh // PERF: flush counter 361e39d6828Sxu_zh XSPerfAccumulate("flush", io.flush.map(_.valid).reduce(_ || _)) 362e39d6828Sxu_zh XSPerfAccumulate("flush_all", io.flushAll) 363e39d6828Sxu_zh} 364e39d6828Sxu_zh 365415fcbe2Sxu_zhclass ICacheDataArrayIO(implicit p: Parameters) extends ICacheBundle { 366415fcbe2Sxu_zh val write: DecoupledIO[ICacheDataWriteBundle] = Flipped(DecoupledIO(new ICacheDataWriteBundle)) 367415fcbe2Sxu_zh val read: Vec[DecoupledIO[ICacheReadBundle]] = Flipped(Vec(partWayNum, DecoupledIO(new ICacheReadBundle))) 368415fcbe2Sxu_zh val readResp: ICacheDataRespBundle = Output(new ICacheDataRespBundle) 369e5f1252bSGuokai Chen} 370b37bce8eSJinYue 371415fcbe2Sxu_zhclass ICacheDataArray(implicit p: Parameters) extends ICacheArray { 372415fcbe2Sxu_zh class ICacheDataEntry(implicit p: Parameters) extends ICacheBundle { 373415fcbe2Sxu_zh val data: UInt = UInt(ICacheDataBits.W) 374415fcbe2Sxu_zh val code: UInt = UInt(ICacheDataCodeBits.W) 375415fcbe2Sxu_zh } 376415fcbe2Sxu_zh 377415fcbe2Sxu_zh private object ICacheDataEntry { 378415fcbe2Sxu_zh def apply(data: UInt)(implicit p: Parameters): ICacheDataEntry = { 379b92f8445Sssszwic val entry = Wire(new ICacheDataEntry) 380b92f8445Sssszwic entry.data := data 3818966a895Sxu_zh entry.code := encodeDataECC(data) 382b92f8445Sssszwic entry 383b37bce8eSJinYue } 384b92f8445Sssszwic } 385a61a35e0Sssszwic 386415fcbe2Sxu_zh val io: ICacheDataArrayIO = IO(new ICacheDataArrayIO) 387b92f8445Sssszwic 388a61a35e0Sssszwic /** 389a61a35e0Sssszwic ****************************************************************************** 390a61a35e0Sssszwic * data array 391a61a35e0Sssszwic ****************************************************************************** 392a61a35e0Sssszwic */ 393415fcbe2Sxu_zh private val writeDatas = io.write.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt(ICacheDataBits.W))) 394415fcbe2Sxu_zh private val writeEntries = writeDatas.map(ICacheDataEntry(_).asUInt) 395b92f8445Sssszwic 396415fcbe2Sxu_zh // io.read() are copies to control fan-out, we can simply use .head here 397415fcbe2Sxu_zh private val bankSel = getBankSel(io.read.head.bits.blkOffset, io.read.head.valid) 398415fcbe2Sxu_zh private val lineSel = getLineSel(io.read.head.bits.blkOffset) 399415fcbe2Sxu_zh private val waymasks = io.read.head.bits.waymask 400415fcbe2Sxu_zh private val masks = Wire(Vec(nWays, Vec(ICacheDataBanks, Bool()))) 401b92f8445Sssszwic (0 until nWays).foreach { way => 402b92f8445Sssszwic (0 until ICacheDataBanks).foreach { bank => 403cf7d6b7aSMuzi masks(way)(bank) := Mux( 404cf7d6b7aSMuzi lineSel(bank), 405cf7d6b7aSMuzi waymasks(1)(way) && bankSel(1)(bank).asBool, 406cf7d6b7aSMuzi waymasks(0)(way) && bankSel(0)(bank).asBool 407cf7d6b7aSMuzi ) 408b92f8445Sssszwic } 409b92f8445Sssszwic } 410b92f8445Sssszwic 411415fcbe2Sxu_zh private val dataArrays = (0 until nWays).map { way => 412b92f8445Sssszwic (0 until ICacheDataBanks).map { bank => 413b92f8445Sssszwic val sramBank = Module(new SRAMTemplateWithFixedWidth( 4148966a895Sxu_zh UInt(ICacheDataEntryBits.W), 415a61a35e0Sssszwic set = nSets, 416b92f8445Sssszwic width = ICacheDataSRAMWidth, 417a61a35e0Sssszwic shouldReset = true, 418a61a35e0Sssszwic holdRead = true, 41939d55402Spengxiao singlePort = true, 42039d55402Spengxiao withClockGate = true 4211d8f4dcbSJay )) 4221d8f4dcbSJay 423b92f8445Sssszwic // read 424b92f8445Sssszwic sramBank.io.r.req.valid := io.read(bank % 4).valid && masks(way)(bank) 425cf7d6b7aSMuzi sramBank.io.r.req.bits.apply(setIdx = 426cf7d6b7aSMuzi Mux(lineSel(bank), io.read(bank % 4).bits.vSetIdx(1), io.read(bank % 4).bits.vSetIdx(0)) 427cf7d6b7aSMuzi ) 428b92f8445Sssszwic // write 429b92f8445Sssszwic sramBank.io.w.req.valid := io.write.valid && io.write.bits.waymask(way).asBool 430a61a35e0Sssszwic sramBank.io.w.req.bits.apply( 431b92f8445Sssszwic data = writeEntries(bank), 432a61a35e0Sssszwic setIdx = io.write.bits.virIdx, 433b92f8445Sssszwic // waymask is invalid when way of SRAMTemplate <= 1 434b92f8445Sssszwic waymask = 0.U 435a61a35e0Sssszwic ) 436a61a35e0Sssszwic sramBank 437adc7b752SJenius } 438adc7b752SJenius } 439adc7b752SJenius 440a61a35e0Sssszwic /** 441a61a35e0Sssszwic ****************************************************************************** 442a61a35e0Sssszwic * read logic 443a61a35e0Sssszwic ****************************************************************************** 444a61a35e0Sssszwic */ 445415fcbe2Sxu_zh private val masksReg = RegEnable(masks, 0.U.asTypeOf(masks), io.read(0).valid) 446415fcbe2Sxu_zh private val readDataWithCode = (0 until ICacheDataBanks).map { bank => 447cf7d6b7aSMuzi Mux1H(VecInit(masksReg.map(_(bank))).asTypeOf(UInt(nWays.W)), dataArrays.map(_(bank).io.r.resp.asUInt)) 448415fcbe2Sxu_zh } 449415fcbe2Sxu_zh private val readEntries = readDataWithCode.map(_.asTypeOf(new ICacheDataEntry())) 450415fcbe2Sxu_zh private val readDatas = VecInit(readEntries.map(_.data)) 451415fcbe2Sxu_zh private val readCodes = VecInit(readEntries.map(_.code)) 45219d62fa1SJenius 453b92f8445Sssszwic // TEST: force ECC to fail by setting readCodes to 0 454b92f8445Sssszwic if (ICacheForceDataECCError) { 455b92f8445Sssszwic readCodes.foreach(_ := 0.U) 456c157cf71SGuokai Chen } 457c157cf71SGuokai Chen 458a61a35e0Sssszwic /** 459a61a35e0Sssszwic ****************************************************************************** 460a61a35e0Sssszwic * IO 461a61a35e0Sssszwic ****************************************************************************** 462a61a35e0Sssszwic */ 463b92f8445Sssszwic io.readResp.datas := readDatas 464b92f8445Sssszwic io.readResp.codes := readCodes 4651d8f4dcbSJay io.write.ready := true.B 466b92f8445Sssszwic io.read.foreach(_.ready := !io.write.valid) 4671d8f4dcbSJay} 4681d8f4dcbSJay 469415fcbe2Sxu_zhclass ICacheReplacerIO(implicit p: Parameters) extends ICacheBundle { 470415fcbe2Sxu_zh val touch: Vec[Valid[ReplacerTouch]] = Vec(PortNumber, Flipped(ValidIO(new ReplacerTouch))) 471415fcbe2Sxu_zh val victim: ReplacerVictim = Flipped(new ReplacerVictim) 472415fcbe2Sxu_zh} 473b92f8445Sssszwic 474415fcbe2Sxu_zhclass ICacheReplacer(implicit p: Parameters) extends ICacheModule { 475415fcbe2Sxu_zh val io: ICacheReplacerIO = IO(new ICacheReplacerIO) 476415fcbe2Sxu_zh 477415fcbe2Sxu_zh private val replacers = 478415fcbe2Sxu_zh Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets / PortNumber)) 479b92f8445Sssszwic 480b92f8445Sssszwic // touch 481415fcbe2Sxu_zh private val touch_sets = Seq.fill(PortNumber)(Wire(Vec(PortNumber, UInt(log2Ceil(nSets / PortNumber).W)))) 482415fcbe2Sxu_zh private val touch_ways = Seq.fill(PortNumber)(Wire(Vec(PortNumber, Valid(UInt(wayBits.W))))) 483b92f8445Sssszwic (0 until PortNumber).foreach { i => 484cf7d6b7aSMuzi touch_sets(i)(0) := Mux( 485cf7d6b7aSMuzi io.touch(i).bits.vSetIdx(0), 486cf7d6b7aSMuzi io.touch(1).bits.vSetIdx(highestIdxBit, 1), 487cf7d6b7aSMuzi io.touch(0).bits.vSetIdx(highestIdxBit, 1) 488cf7d6b7aSMuzi ) 489b92f8445Sssszwic touch_ways(i)(0).bits := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).bits.way, io.touch(0).bits.way) 490b92f8445Sssszwic touch_ways(i)(0).valid := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).valid, io.touch(0).valid) 491b92f8445Sssszwic } 492b92f8445Sssszwic 493b92f8445Sssszwic // victim 494cf7d6b7aSMuzi io.victim.way := Mux( 495cf7d6b7aSMuzi io.victim.vSetIdx.bits(0), 496b92f8445Sssszwic replacers(1).way(io.victim.vSetIdx.bits(highestIdxBit, 1)), 497cf7d6b7aSMuzi replacers(0).way(io.victim.vSetIdx.bits(highestIdxBit, 1)) 498cf7d6b7aSMuzi ) 499b92f8445Sssszwic 500b92f8445Sssszwic // touch the victim in next cycle 501415fcbe2Sxu_zh private val victim_vSetIdx_reg = 502cf7d6b7aSMuzi RegEnable(io.victim.vSetIdx.bits, 0.U.asTypeOf(io.victim.vSetIdx.bits), io.victim.vSetIdx.valid) 503415fcbe2Sxu_zh private val victim_way_reg = RegEnable(io.victim.way, 0.U.asTypeOf(io.victim.way), io.victim.vSetIdx.valid) 504b92f8445Sssszwic (0 until PortNumber).foreach { i => 505b92f8445Sssszwic touch_sets(i)(1) := victim_vSetIdx_reg(highestIdxBit, 1) 506b92f8445Sssszwic touch_ways(i)(1).bits := victim_way_reg 507b92f8445Sssszwic touch_ways(i)(1).valid := RegNext(io.victim.vSetIdx.valid) && (victim_vSetIdx_reg(0) === i.U) 508b92f8445Sssszwic } 509b92f8445Sssszwic 510415fcbe2Sxu_zh ((replacers zip touch_sets) zip touch_ways).foreach { case ((r, s), w) => r.access(s, w) } 511b92f8445Sssszwic} 512b92f8445Sssszwic 513cf7d6b7aSMuziclass ICacheIO(implicit p: Parameters) extends ICacheBundle { 514415fcbe2Sxu_zh val hartId: UInt = Input(UInt(hartIdLen.W)) 515415fcbe2Sxu_zh // FTQ 516415fcbe2Sxu_zh val fetch: ICacheMainPipeBundle = new ICacheMainPipeBundle 517415fcbe2Sxu_zh val ftqPrefetch: FtqToPrefetchIO = Flipped(new FtqToPrefetchIO) 518415fcbe2Sxu_zh // memblock 519415fcbe2Sxu_zh val softPrefetch: Vec[Valid[SoftIfetchPrefetchBundle]] = 520415fcbe2Sxu_zh Vec(backendParams.LduCnt, Flipped(Valid(new SoftIfetchPrefetchBundle))) 521415fcbe2Sxu_zh // IFU 522415fcbe2Sxu_zh val stop: Bool = Input(Bool()) 523415fcbe2Sxu_zh val toIFU: Bool = Output(Bool()) 524415fcbe2Sxu_zh // PMP: mainPipe & prefetchPipe need PortNumber each 525415fcbe2Sxu_zh val pmp: Vec[ICachePMPBundle] = Vec(2 * PortNumber, new ICachePMPBundle) 526415fcbe2Sxu_zh // iTLB 527415fcbe2Sxu_zh val itlb: Vec[TlbRequestIO] = Vec(PortNumber, new TlbRequestIO) 528*fad7803dSxu_zh val itlbFlushPipe: Bool = Bool() 529415fcbe2Sxu_zh // backend/BEU 530415fcbe2Sxu_zh val error: Valid[L1CacheErrorInfo] = ValidIO(new L1CacheErrorInfo) 531415fcbe2Sxu_zh // backend/CSR 532415fcbe2Sxu_zh val csr_pf_enable: Bool = Input(Bool()) 533415fcbe2Sxu_zh val csr_parity_enable: Bool = Input(Bool()) 534415fcbe2Sxu_zh // flush 535415fcbe2Sxu_zh val fencei: Bool = Input(Bool()) 536415fcbe2Sxu_zh val flush: Bool = Input(Bool()) 537415fcbe2Sxu_zh 538415fcbe2Sxu_zh // perf 539415fcbe2Sxu_zh val perfInfo: ICachePerfInfo = Output(new ICachePerfInfo) 5401d8f4dcbSJay} 5411d8f4dcbSJay 5421d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters { 54395e60e55STang Haojin override def shouldBeInlined: Boolean = false 5441d8f4dcbSJay 545415fcbe2Sxu_zh val clientParameters: TLMasterPortParameters = TLMasterPortParameters.v1( 5461d8f4dcbSJay Seq(TLMasterParameters.v1( 5471d8f4dcbSJay name = "icache", 548cf7d6b7aSMuzi sourceId = IdRange(0, cacheParams.nFetchMshr + cacheParams.nPrefetchMshr + 1) 5491d8f4dcbSJay )), 5501d8f4dcbSJay requestFields = cacheParams.reqFields, 5511d8f4dcbSJay echoFields = cacheParams.echoFields 5521d8f4dcbSJay ) 5531d8f4dcbSJay 554415fcbe2Sxu_zh val clientNode: TLClientNode = TLClientNode(Seq(clientParameters)) 5551d8f4dcbSJay 556415fcbe2Sxu_zh lazy val module: ICacheImp = new ICacheImp(this) 5571d8f4dcbSJay} 5581d8f4dcbSJay 5591ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents { 560415fcbe2Sxu_zh val io: ICacheIO = IO(new ICacheIO) 5611d8f4dcbSJay 5627052722fSJay println("ICache:") 563b92f8445Sssszwic println(" TagECC: " + cacheParams.tagECC) 564b92f8445Sssszwic println(" DataECC: " + cacheParams.dataECC) 5657052722fSJay println(" ICacheSets: " + cacheParams.nSets) 5667052722fSJay println(" ICacheWays: " + cacheParams.nWays) 567b92f8445Sssszwic println(" PortNumber: " + cacheParams.PortNumber) 568b92f8445Sssszwic println(" nFetchMshr: " + cacheParams.nFetchMshr) 569b92f8445Sssszwic println(" nPrefetchMshr: " + cacheParams.nPrefetchMshr) 570b92f8445Sssszwic println(" nWayLookupSize: " + cacheParams.nWayLookupSize) 571b92f8445Sssszwic println(" DataCodeUnit: " + cacheParams.DataCodeUnit) 572b92f8445Sssszwic println(" ICacheDataBanks: " + cacheParams.ICacheDataBanks) 573b92f8445Sssszwic println(" ICacheDataSRAMWidth: " + cacheParams.ICacheDataSRAMWidth) 5747052722fSJay 5751d8f4dcbSJay val (bus, edge) = outer.clientNode.out.head 5761d8f4dcbSJay 577415fcbe2Sxu_zh private val metaArray = Module(new ICacheMetaArray) 578415fcbe2Sxu_zh private val dataArray = Module(new ICacheDataArray) 579415fcbe2Sxu_zh private val mainPipe = Module(new ICacheMainPipe) 580415fcbe2Sxu_zh private val missUnit = Module(new ICacheMissUnit(edge)) 581415fcbe2Sxu_zh private val replacer = Module(new ICacheReplacer) 582415fcbe2Sxu_zh private val prefetcher = Module(new IPrefetchPipe) 583415fcbe2Sxu_zh private val wayLookup = Module(new WayLookup) 5841d8f4dcbSJay 585b92f8445Sssszwic dataArray.io.write <> missUnit.io.data_write 586b92f8445Sssszwic dataArray.io.read <> mainPipe.io.dataArray.toIData 587b92f8445Sssszwic dataArray.io.readResp <> mainPipe.io.dataArray.fromIData 588cb6e5d3cSssszwic 589e39d6828Sxu_zh metaArray.io.flushAll := io.fencei 590e39d6828Sxu_zh metaArray.io.flush <> mainPipe.io.metaArrayFlush 591b92f8445Sssszwic metaArray.io.write <> missUnit.io.meta_write 592b92f8445Sssszwic metaArray.io.read <> prefetcher.io.metaRead.toIMeta 593b92f8445Sssszwic metaArray.io.readResp <> prefetcher.io.metaRead.fromIMeta 594cb6e5d3cSssszwic 595b92f8445Sssszwic prefetcher.io.flush := io.flush 596b92f8445Sssszwic prefetcher.io.csr_pf_enable := io.csr_pf_enable 597f80535c3Sxu_zh prefetcher.io.csr_parity_enable := io.csr_parity_enable 598b92f8445Sssszwic prefetcher.io.MSHRResp := missUnit.io.fetch_resp 5992c9f4a9fSxu_zh prefetcher.io.flushFromBpu := io.ftqPrefetch.flushFromBpu 6002c9f4a9fSxu_zh // cache softPrefetch 6012c9f4a9fSxu_zh private val softPrefetchValid = RegInit(false.B) 6022c9f4a9fSxu_zh private val softPrefetch = RegInit(0.U.asTypeOf(new IPrefetchReq)) 6032c9f4a9fSxu_zh /* FIXME: 6042c9f4a9fSxu_zh * If there is already a pending softPrefetch request, it will be overwritten. 6052c9f4a9fSxu_zh * Also, if there are multiple softPrefetch requests in the same cycle, only the first one will be accepted. 6062c9f4a9fSxu_zh * We should implement a softPrefetchQueue (like ibuffer, multi-in, single-out) to solve this. 6072c9f4a9fSxu_zh * However, the impact on performance still needs to be assessed. 6082c9f4a9fSxu_zh * Considering that the frequency of prefetch.i may not be high, let's start with a temporary dummy solution. 6092c9f4a9fSxu_zh */ 6102c9f4a9fSxu_zh when(io.softPrefetch.map(_.valid).reduce(_ || _)) { 6112c9f4a9fSxu_zh softPrefetchValid := true.B 6122c9f4a9fSxu_zh softPrefetch.fromSoftPrefetch(MuxCase( 6132c9f4a9fSxu_zh 0.U.asTypeOf(new SoftIfetchPrefetchBundle), 614cf7d6b7aSMuzi io.softPrefetch.map(req => req.valid -> req.bits) 6152c9f4a9fSxu_zh )) 6162c9f4a9fSxu_zh }.elsewhen(prefetcher.io.req.fire) { 6172c9f4a9fSxu_zh softPrefetchValid := false.B 6182c9f4a9fSxu_zh } 6192c9f4a9fSxu_zh // pass ftqPrefetch 6202c9f4a9fSxu_zh private val ftqPrefetch = WireInit(0.U.asTypeOf(new IPrefetchReq)) 6212c9f4a9fSxu_zh ftqPrefetch.fromFtqICacheInfo(io.ftqPrefetch.req.bits) 6222c9f4a9fSxu_zh // software prefetch has higher priority 6232c9f4a9fSxu_zh prefetcher.io.req.valid := softPrefetchValid || io.ftqPrefetch.req.valid 6242c9f4a9fSxu_zh prefetcher.io.req.bits := Mux(softPrefetchValid, softPrefetch, ftqPrefetch) 625fbdb359dSMuzi prefetcher.io.req.bits.backendException := io.ftqPrefetch.backendException 6262c9f4a9fSxu_zh io.ftqPrefetch.req.ready := prefetcher.io.req.ready && !softPrefetchValid 627fd16c454SJenius 628b92f8445Sssszwic missUnit.io.hartId := io.hartId 629b92f8445Sssszwic missUnit.io.fencei := io.fencei 630b92f8445Sssszwic missUnit.io.flush := io.flush 631b92f8445Sssszwic missUnit.io.fetch_req <> mainPipe.io.mshr.req 632b92f8445Sssszwic missUnit.io.prefetch_req <> prefetcher.io.MSHRReq 633b92f8445Sssszwic missUnit.io.mem_grant.valid := false.B 634b92f8445Sssszwic missUnit.io.mem_grant.bits := DontCare 635b92f8445Sssszwic missUnit.io.mem_grant <> bus.d 636b92f8445Sssszwic 637b92f8445Sssszwic mainPipe.io.flush := io.flush 638cb6e5d3cSssszwic mainPipe.io.respStall := io.stop 639ecccf78fSJay mainPipe.io.csr_parity_enable := io.csr_parity_enable 640cb6e5d3cSssszwic mainPipe.io.hartId := io.hartId 641b92f8445Sssszwic mainPipe.io.mshr.resp := missUnit.io.fetch_resp 642b92f8445Sssszwic mainPipe.io.fetch.req <> io.fetch.req 643b92f8445Sssszwic mainPipe.io.wayLookupRead <> wayLookup.io.read 644b92f8445Sssszwic 645b92f8445Sssszwic wayLookup.io.flush := io.flush 646b92f8445Sssszwic wayLookup.io.write <> prefetcher.io.wayLookupWrite 647b92f8445Sssszwic wayLookup.io.update := missUnit.io.fetch_resp 648b92f8445Sssszwic 649b92f8445Sssszwic replacer.io.touch <> mainPipe.io.touch 650b92f8445Sssszwic replacer.io.victim <> missUnit.io.victim 6517052722fSJay 65261e1db30SJay io.pmp(0) <> mainPipe.io.pmp(0) 65361e1db30SJay io.pmp(1) <> mainPipe.io.pmp(1) 654b92f8445Sssszwic io.pmp(2) <> prefetcher.io.pmp(0) 655b92f8445Sssszwic io.pmp(3) <> prefetcher.io.pmp(1) 6567052722fSJay 657b92f8445Sssszwic io.itlb(0) <> prefetcher.io.itlb(0) 658b92f8445Sssszwic io.itlb(1) <> prefetcher.io.itlb(1) 659*fad7803dSxu_zh io.itlbFlushPipe := prefetcher.io.itlbFlushPipe 6607052722fSJay 661cb6e5d3cSssszwic // notify IFU that Icache pipeline is available 662cb6e5d3cSssszwic io.toIFU := mainPipe.io.fetch.req.ready 663cb6e5d3cSssszwic io.perfInfo := mainPipe.io.perfInfo 6641d8f4dcbSJay 665c5c5edaeSJenius io.fetch.resp <> mainPipe.io.fetch.resp 666d2b20d1aSTang Haojin io.fetch.topdownIcacheMiss := mainPipe.io.fetch.topdownIcacheMiss 667d2b20d1aSTang Haojin io.fetch.topdownItlbMiss := mainPipe.io.fetch.topdownItlbMiss 668c5c5edaeSJenius 6691d8f4dcbSJay bus.b.ready := false.B 6701d8f4dcbSJay bus.c.valid := false.B 6711d8f4dcbSJay bus.c.bits := DontCare 6721d8f4dcbSJay bus.e.valid := false.B 6731d8f4dcbSJay bus.e.bits := DontCare 6741d8f4dcbSJay 6751d8f4dcbSJay bus.a <> missUnit.io.mem_acquire 6761d8f4dcbSJay 67758dbdfc2SJay // Parity error port 678415fcbe2Sxu_zh private val errors = mainPipe.io.errors 679415fcbe2Sxu_zh private val errors_valid = errors.map(e => e.valid).reduce(_ | _) 680b3c35820Sxu_zh io.error.bits <> RegEnable( 681b3c35820Sxu_zh PriorityMux(errors.map(e => e.valid -> e.bits)), 682b3c35820Sxu_zh 0.U.asTypeOf(errors(0).bits), 683b3c35820Sxu_zh errors_valid 684b3c35820Sxu_zh ) 685b92f8445Sssszwic io.error.valid := RegNext(errors_valid, false.B) 6862a6078bfSguohongyu 687cf7d6b7aSMuzi XSPerfAccumulate( 688cf7d6b7aSMuzi "softPrefetch_drop_not_ready", 689cf7d6b7aSMuzi io.softPrefetch.map(_.valid).reduce(_ || _) && softPrefetchValid && !prefetcher.io.req.fire 690cf7d6b7aSMuzi ) 6912c9f4a9fSxu_zh XSPerfAccumulate("softPrefetch_drop_multi_req", PopCount(io.softPrefetch.map(_.valid)) > 1.U) 6922c9f4a9fSxu_zh XSPerfAccumulate("softPrefetch_block_ftq", softPrefetchValid && io.ftqPrefetch.req.valid) 6932c9f4a9fSxu_zh 694415fcbe2Sxu_zh val perfEvents: Seq[(String, Bool)] = Seq( 6951d8f4dcbSJay ("icache_miss_cnt ", false.B), 696cf7d6b7aSMuzi ("icache_miss_penalty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)) 6971d8f4dcbSJay ) 6981ca0e4f3SYinan Xu generatePerfEvent() 699adc7b752SJenius} 700adc7b752SJenius 701415fcbe2Sxu_zh//class ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) 702415fcbe2Sxu_zh// extends ICacheBundle { 703415fcbe2Sxu_zh// val req = Flipped(Vec( 704415fcbe2Sxu_zh// PortNumber, 705415fcbe2Sxu_zh// Decoupled(new Bundle { 706415fcbe2Sxu_zh// val ridx = UInt((log2Ceil(nSets) - 1).W) 707415fcbe2Sxu_zh// }) 708415fcbe2Sxu_zh// )) 709415fcbe2Sxu_zh// val resp = Output(new Bundle { 710415fcbe2Sxu_zh// val rdata = Vec(PortNumber, Vec(pWay, gen)) 711415fcbe2Sxu_zh// }) 712415fcbe2Sxu_zh//} 713adc7b752SJenius 714415fcbe2Sxu_zh//class ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) 715415fcbe2Sxu_zh// extends ICacheBundle { 716415fcbe2Sxu_zh// val wdata = gen 717415fcbe2Sxu_zh// val widx = UInt((log2Ceil(nSets) - 1).W) 718415fcbe2Sxu_zh// val wbankidx = Bool() 719415fcbe2Sxu_zh// val wmask = Vec(pWay, Bool()) 720415fcbe2Sxu_zh//} 721adc7b752SJenius 722415fcbe2Sxu_zh//class ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray { 723415fcbe2Sxu_zh// 724415fcbe2Sxu_zh// // including part way data 725415fcbe2Sxu_zh// val io = IO { 726415fcbe2Sxu_zh// new Bundle { 727415fcbe2Sxu_zh// val read = new ICachePartWayReadBundle(gen, pWay) 728415fcbe2Sxu_zh// val write = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay))) 729415fcbe2Sxu_zh// } 730415fcbe2Sxu_zh// } 731415fcbe2Sxu_zh// 732415fcbe2Sxu_zh// io.read.req.map(_.ready := !io.write.valid) 733415fcbe2Sxu_zh// 734415fcbe2Sxu_zh// val srams = (0 until PortNumber) map { bank => 735415fcbe2Sxu_zh// val sramBank = Module(new SRAMTemplate( 736415fcbe2Sxu_zh// gen, 737415fcbe2Sxu_zh// set = nSets / 2, 738415fcbe2Sxu_zh// way = pWay, 739415fcbe2Sxu_zh// shouldReset = true, 740415fcbe2Sxu_zh// holdRead = true, 741415fcbe2Sxu_zh// singlePort = true, 742415fcbe2Sxu_zh// withClockGate = true 743415fcbe2Sxu_zh// )) 744415fcbe2Sxu_zh// 745415fcbe2Sxu_zh// sramBank.io.r.req.valid := io.read.req(bank).valid 746415fcbe2Sxu_zh// sramBank.io.r.req.bits.apply(setIdx = io.read.req(bank).bits.ridx) 747415fcbe2Sxu_zh// 748415fcbe2Sxu_zh// if (bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx 749415fcbe2Sxu_zh// else sramBank.io.w.req.valid := io.write.valid && io.write.bits.wbankidx 750415fcbe2Sxu_zh// sramBank.io.w.req.bits.apply( 751415fcbe2Sxu_zh// data = io.write.bits.wdata, 752415fcbe2Sxu_zh// setIdx = io.write.bits.widx, 753415fcbe2Sxu_zh// waymask = io.write.bits.wmask.asUInt 754415fcbe2Sxu_zh// ) 755415fcbe2Sxu_zh// 756415fcbe2Sxu_zh// sramBank 757415fcbe2Sxu_zh// } 758415fcbe2Sxu_zh// 759415fcbe2Sxu_zh// io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_ && _)) 760415fcbe2Sxu_zh// 761415fcbe2Sxu_zh// io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay, gen)))) 762415fcbe2Sxu_zh// 763415fcbe2Sxu_zh//} 764adc7b752SJenius 765415fcbe2Sxu_zhclass SRAMTemplateWithFixedWidthIO[T <: Data](gen: T, set: Int, way: Int) extends Bundle { 766415fcbe2Sxu_zh val r: SRAMReadBus[T] = Flipped(new SRAMReadBus(gen, set, way)) 767415fcbe2Sxu_zh val w: SRAMWriteBus[T] = Flipped(new SRAMWriteBus(gen, set, way)) 7681d8f4dcbSJay} 769b92f8445Sssszwic 770b92f8445Sssszwic// Automatically partition the SRAM based on the width of the data and the desired width. 771b92f8445Sssszwic// final SRAM width = width * way 772cf7d6b7aSMuziclass SRAMTemplateWithFixedWidth[T <: Data]( 773cf7d6b7aSMuzi gen: T, 774cf7d6b7aSMuzi set: Int, 775cf7d6b7aSMuzi width: Int, 776cf7d6b7aSMuzi way: Int = 1, 777cf7d6b7aSMuzi shouldReset: Boolean = false, 778cf7d6b7aSMuzi holdRead: Boolean = false, 779cf7d6b7aSMuzi singlePort: Boolean = false, 78039d55402Spengxiao bypassWrite: Boolean = false, 78139d55402Spengxiao withClockGate: Boolean = false 782b92f8445Sssszwic) extends Module { 783b92f8445Sssszwic 784415fcbe2Sxu_zh private val dataBits = gen.getWidth 785415fcbe2Sxu_zh private val bankNum = math.ceil(dataBits.toDouble / width.toDouble).toInt 786415fcbe2Sxu_zh private val totalBits = bankNum * width 787b92f8445Sssszwic 788415fcbe2Sxu_zh val io: SRAMTemplateWithFixedWidthIO[T] = IO(new SRAMTemplateWithFixedWidthIO(gen, set, way)) 789b92f8445Sssszwic 790415fcbe2Sxu_zh private val wordType = UInt(width.W) 791415fcbe2Sxu_zh private val writeDatas = (0 until bankNum).map { bank => 792415fcbe2Sxu_zh VecInit((0 until way).map { i => 793b92f8445Sssszwic io.w.req.bits.data(i).asTypeOf(UInt(totalBits.W)).asTypeOf(Vec(bankNum, wordType))(bank) 794415fcbe2Sxu_zh }) 795415fcbe2Sxu_zh } 796b92f8445Sssszwic 797415fcbe2Sxu_zh private val srams = (0 until bankNum) map { bank => 798b92f8445Sssszwic val sramBank = Module(new SRAMTemplate( 799b92f8445Sssszwic wordType, 800b92f8445Sssszwic set = set, 801b92f8445Sssszwic way = way, 802b92f8445Sssszwic shouldReset = shouldReset, 803b92f8445Sssszwic holdRead = holdRead, 804b92f8445Sssszwic singlePort = singlePort, 80539d55402Spengxiao bypassWrite = bypassWrite, 80639d55402Spengxiao withClockGate = withClockGate 807b92f8445Sssszwic )) 808b92f8445Sssszwic // read req 809b92f8445Sssszwic sramBank.io.r.req.valid := io.r.req.valid 810b92f8445Sssszwic sramBank.io.r.req.bits.setIdx := io.r.req.bits.setIdx 811b92f8445Sssszwic 812b92f8445Sssszwic // write req 813b92f8445Sssszwic sramBank.io.w.req.valid := io.w.req.valid 814b92f8445Sssszwic sramBank.io.w.req.bits.setIdx := io.w.req.bits.setIdx 815b92f8445Sssszwic sramBank.io.w.req.bits.data := writeDatas(bank) 816415fcbe2Sxu_zh sramBank.io.w.req.bits.waymask.foreach(_ := io.w.req.bits.waymask.get) 817b92f8445Sssszwic 818b92f8445Sssszwic sramBank 819b92f8445Sssszwic } 820b92f8445Sssszwic 821b92f8445Sssszwic io.r.req.ready := !io.w.req.valid 822b92f8445Sssszwic (0 until way).foreach { i => 823b92f8445Sssszwic io.r.resp.data(i) := VecInit((0 until bankNum).map(bank => 824b92f8445Sssszwic srams(bank).io.r.resp.data(i) 825b92f8445Sssszwic )).asTypeOf(UInt(totalBits.W))(dataBits - 1, 0).asTypeOf(gen.cloneType) 826b92f8445Sssszwic } 827b92f8445Sssszwic 828b92f8445Sssszwic io.r.req.ready := srams.head.io.r.req.ready 829b92f8445Sssszwic io.w.req.ready := srams.head.io.w.req.ready 830b92f8445Sssszwic} 831