11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters 201d8f4dcbSJayimport chisel3._ 211d8f4dcbSJayimport chisel3.util._ 221d8f4dcbSJayimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 231d8f4dcbSJayimport freechips.rocketchip.tilelink._ 241d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase 257052722fSJayimport huancun.{AliasField, DirtyField, PreferCacheField, PrefetchField} 261d8f4dcbSJayimport xiangshan._ 271d8f4dcbSJayimport xiangshan.frontend._ 281d8f4dcbSJayimport xiangshan.cache._ 291d8f4dcbSJayimport utils._ 307052722fSJayimport xiangshan.backend.fu.PMPReqBundle 31*f1fe8698SLemoverimport xiangshan.cache.mmu.{TlbRequestIO, TlbReq} 321d8f4dcbSJay 331d8f4dcbSJaycase class ICacheParameters( 341d8f4dcbSJay nSets: Int = 256, 351d8f4dcbSJay nWays: Int = 8, 361d8f4dcbSJay rowBits: Int = 64, 371d8f4dcbSJay nTLBEntries: Int = 32, 381d8f4dcbSJay tagECC: Option[String] = None, 391d8f4dcbSJay dataECC: Option[String] = None, 401d8f4dcbSJay replacer: Option[String] = Some("random"), 411d8f4dcbSJay nMissEntries: Int = 2, 4200240ba6SJay nReleaseEntries: Int = 1, 431d8f4dcbSJay nProbeEntries: Int = 2, 447052722fSJay nPrefetchEntries: Int = 4, 457052722fSJay hasPrefetch: Boolean = false, 461d8f4dcbSJay nMMIOs: Int = 1, 471d8f4dcbSJay blockBytes: Int = 64 481d8f4dcbSJay)extends L1CacheParameters { 491d8f4dcbSJay 501d8f4dcbSJay val setBytes = nSets * blockBytes 511d8f4dcbSJay val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 521d8f4dcbSJay val reqFields: Seq[BundleFieldBase] = Seq( 531d8f4dcbSJay PrefetchField(), 541d8f4dcbSJay PreferCacheField() 551d8f4dcbSJay ) ++ aliasBitsOpt.map(AliasField) 561d8f4dcbSJay val echoFields: Seq[BundleFieldBase] = Seq(DirtyField()) 571d8f4dcbSJay def tagCode: Code = Code.fromString(tagECC) 581d8f4dcbSJay def dataCode: Code = Code.fromString(dataECC) 591d8f4dcbSJay def replacement = ReplacementPolicy.fromString(replacer,nWays,nSets) 601d8f4dcbSJay} 611d8f4dcbSJay 621d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst{ 631d8f4dcbSJay val cacheParams = icacheParameters 6442cfa32cSJinYue val dataCodeUnit = 16 65b37bce8eSJinYue val dataCodeUnitNum = blockBits/dataCodeUnit 661d8f4dcbSJay 671d8f4dcbSJay def highestIdxBit = log2Ceil(nSets) - 1 68b37bce8eSJinYue def encDataUnitBits = cacheParams.dataCode.width(dataCodeUnit) 69b37bce8eSJinYue def dataCodeBits = encDataUnitBits - dataCodeUnit 70b37bce8eSJinYue def dataCodeEntryBits = dataCodeBits * dataCodeUnitNum 711d8f4dcbSJay 721d8f4dcbSJay val ICacheSets = cacheParams.nSets 731d8f4dcbSJay val ICacheWays = cacheParams.nWays 741d8f4dcbSJay 751d8f4dcbSJay val ICacheSameVPAddrLength = 12 762a25dbb4SJay val ReplaceIdWid = 5 771d8f4dcbSJay 781d8f4dcbSJay val ICacheWordOffset = 0 791d8f4dcbSJay val ICacheSetOffset = ICacheWordOffset + log2Up(blockBytes) 801d8f4dcbSJay val ICacheAboveIndexOffset = ICacheSetOffset + log2Up(ICacheSets) 811d8f4dcbSJay val ICacheTagOffset = ICacheAboveIndexOffset min ICacheSameVPAddrLength 821d8f4dcbSJay 832a25dbb4SJay def ReplacePipeKey = 0 847052722fSJay def MainPipeKey = 1 851d8f4dcbSJay def PortNumber = 2 867052722fSJay def ProbeKey = 3 871d8f4dcbSJay 887052722fSJay def nPrefetchEntries = cacheParams.nPrefetchEntries 891d8f4dcbSJay 902a25dbb4SJay def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = { 912a25dbb4SJay val valid = RegInit(false.B) 922a25dbb4SJay when(thisFlush) {valid := false.B} 932a25dbb4SJay .elsewhen(lastFire && !lastFlush) {valid := true.B} 942a25dbb4SJay .elsewhen(thisFire) {valid := false.B} 952a25dbb4SJay valid 962a25dbb4SJay } 972a25dbb4SJay 982a25dbb4SJay def ResultHoldBypass[T<:Data](data: T, valid: Bool): T = { 992a25dbb4SJay Mux(valid, data, RegEnable(data, valid)) 1002a25dbb4SJay } 1012a25dbb4SJay 1021d8f4dcbSJay require(isPow2(nSets), s"nSets($nSets) must be pow2") 1031d8f4dcbSJay require(isPow2(nWays), s"nWays($nWays) must be pow2") 1041d8f4dcbSJay} 1051d8f4dcbSJay 1061d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle 1071d8f4dcbSJay with HasICacheParameters 1081d8f4dcbSJay 1091d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule 1101d8f4dcbSJay with HasICacheParameters 1111d8f4dcbSJay 1121d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule 1131d8f4dcbSJay with HasICacheParameters 1141d8f4dcbSJay 1151d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle { 1161d8f4dcbSJay val coh = new ClientMetadata 1171d8f4dcbSJay val tag = UInt(tagBits.W) 1181d8f4dcbSJay} 1191d8f4dcbSJay 1201d8f4dcbSJayobject ICacheMetadata { 1211d8f4dcbSJay def apply(tag: Bits, coh: ClientMetadata)(implicit p: Parameters) = { 1221d8f4dcbSJay val meta = Wire(new L1Metadata) 1231d8f4dcbSJay meta.tag := tag 1241d8f4dcbSJay meta.coh := coh 1251d8f4dcbSJay meta 1261d8f4dcbSJay } 1271d8f4dcbSJay} 1281d8f4dcbSJay 1291d8f4dcbSJay 1301d8f4dcbSJayclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray 1311d8f4dcbSJay{ 1321d8f4dcbSJay def onReset = ICacheMetadata(0.U, ClientMetadata.onReset) 1331d8f4dcbSJay val metaBits = onReset.getWidth 1341d8f4dcbSJay val metaEntryBits = cacheParams.tagCode.width(metaBits) 1351d8f4dcbSJay 1361d8f4dcbSJay val io=IO{new Bundle{ 1371d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheMetaWriteBundle)) 1381d8f4dcbSJay val read = Flipped(DecoupledIO(new ICacheReadBundle)) 1391d8f4dcbSJay val readResp = Output(new ICacheMetaRespBundle) 140026615fcSWilliam Wang val cacheOp = Flipped(new L1CacheInnerOpIO) // customized cache op port 1411d8f4dcbSJay }} 1421d8f4dcbSJay 1431d8f4dcbSJay io.read.ready := !io.write.valid 1441d8f4dcbSJay 1451d8f4dcbSJay val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0) 1461d8f4dcbSJay val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0) 1471d8f4dcbSJay val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 1481d8f4dcbSJay val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 1491d8f4dcbSJay 150005e809bSJiuyang Liu val port_0_read_0_reg = RegEnable(port_0_read_0, io.read.fire()) 151005e809bSJiuyang Liu val port_0_read_1_reg = RegEnable(port_0_read_1, io.read.fire()) 152005e809bSJiuyang Liu val port_1_read_1_reg = RegEnable(port_1_read_1, io.read.fire()) 153005e809bSJiuyang Liu val port_1_read_0_reg = RegEnable(port_1_read_0, io.read.fire()) 1541d8f4dcbSJay 1551d8f4dcbSJay val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 1561d8f4dcbSJay val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 15758dbdfc2SJay val bank_idx = Seq(bank_0_idx, bank_1_idx) 1581d8f4dcbSJay 1591d8f4dcbSJay val write_bank_0 = io.write.valid && !io.write.bits.bankIdx 1601d8f4dcbSJay val write_bank_1 = io.write.valid && io.write.bits.bankIdx 1611d8f4dcbSJay 1621d8f4dcbSJay val write_meta_bits = Wire(UInt(metaEntryBits.W)) 1631d8f4dcbSJay 1641d8f4dcbSJay val tagArrays = (0 until 2) map { bank => 1651d8f4dcbSJay val tagArray = Module(new SRAMTemplate( 1661d8f4dcbSJay UInt(metaEntryBits.W), 1671d8f4dcbSJay set=nSets/2, 1681d8f4dcbSJay way=nWays, 1691d8f4dcbSJay shouldReset = true, 1701d8f4dcbSJay holdRead = true, 1711d8f4dcbSJay singlePort = true 1721d8f4dcbSJay )) 1731d8f4dcbSJay 1741d8f4dcbSJay //meta connection 1751d8f4dcbSJay if(bank == 0) { 1761d8f4dcbSJay tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0 1771d8f4dcbSJay tagArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1)) 1781d8f4dcbSJay tagArray.io.w.req.valid := write_bank_0 1791d8f4dcbSJay tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 1801d8f4dcbSJay } 1811d8f4dcbSJay else { 1821d8f4dcbSJay tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1 1831d8f4dcbSJay tagArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1)) 1841d8f4dcbSJay tagArray.io.w.req.valid := write_bank_1 1851d8f4dcbSJay tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 1861d8f4dcbSJay } 1871d8f4dcbSJay 1881d8f4dcbSJay tagArray 1891d8f4dcbSJay } 190b37bce8eSJinYue 19119d62fa1SJenius io.read.ready := !io.write.valid && tagArrays.map(_.io.r.req.ready).reduce(_&&_) 19219d62fa1SJenius 1931d8f4dcbSJay //Parity Decode 1941d8f4dcbSJay val read_metas = Wire(Vec(2,Vec(nWays,new ICacheMetadata()))) 1951d8f4dcbSJay for((tagArray,i) <- tagArrays.zipWithIndex){ 1961d8f4dcbSJay val read_meta_bits = tagArray.io.r.resp.asTypeOf(Vec(nWays,UInt(metaEntryBits.W))) 1971d8f4dcbSJay val read_meta_decoded = read_meta_bits.map{ way_bits => cacheParams.tagCode.decode(way_bits)} 1981d8f4dcbSJay val read_meta_wrong = read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.error} 1991d8f4dcbSJay val read_meta_corrected = VecInit(read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.corrected}) 2001d8f4dcbSJay read_metas(i) := read_meta_corrected.asTypeOf(Vec(nWays,new ICacheMetadata())) 201b37bce8eSJinYue (0 until nWays).map{ w => io.readResp.errors(i)(w) := RegNext(read_meta_wrong(w)) && RegNext(RegNext(io.read.fire))} 2021d8f4dcbSJay } 2031d8f4dcbSJay 2041d8f4dcbSJay //Parity Encode 2051d8f4dcbSJay val write = io.write.bits 2061d8f4dcbSJay write_meta_bits := cacheParams.tagCode.encode(ICacheMetadata(tag = write.phyTag, coh = write.coh).asUInt) 2071d8f4dcbSJay 2081d8f4dcbSJay val wayNum = OHToUInt(io.write.bits.waymask) 2091d8f4dcbSJay val validPtr = Cat(io.write.bits.virIdx, wayNum) 2101d8f4dcbSJay 2111d8f4dcbSJay io.readResp.metaData <> DontCare 2121d8f4dcbSJay when(port_0_read_0_reg){ 2131d8f4dcbSJay io.readResp.metaData(0) := read_metas(0) 2141d8f4dcbSJay }.elsewhen(port_0_read_1_reg){ 2151d8f4dcbSJay io.readResp.metaData(0) := read_metas(1) 2161d8f4dcbSJay } 2171d8f4dcbSJay 2181d8f4dcbSJay when(port_1_read_0_reg){ 2191d8f4dcbSJay io.readResp.metaData(1) := read_metas(0) 2201d8f4dcbSJay }.elsewhen(port_1_read_1_reg){ 2211d8f4dcbSJay io.readResp.metaData(1) := read_metas(1) 2221d8f4dcbSJay } 2231d8f4dcbSJay 2241d8f4dcbSJay 2251d8f4dcbSJay io.write.ready := true.B 2261d8f4dcbSJay // deal with customized cache op 2271d8f4dcbSJay require(nWays <= 32) 2281d8f4dcbSJay io.cacheOp.resp.bits := DontCare 2291d8f4dcbSJay val cacheOpShouldResp = WireInit(false.B) 2301d8f4dcbSJay when(io.cacheOp.req.valid){ 2311d8f4dcbSJay when( 2321d8f4dcbSJay CacheInstrucion.isReadTag(io.cacheOp.req.bits.opCode) || 2331d8f4dcbSJay CacheInstrucion.isReadTagECC(io.cacheOp.req.bits.opCode) 2341d8f4dcbSJay ){ 2351d8f4dcbSJay for (i <- 0 until 2) { 2361d8f4dcbSJay tagArrays(i).io.r.req.valid := true.B 2371d8f4dcbSJay tagArrays(i).io.r.req.bits.apply(setIdx = io.cacheOp.req.bits.index) 2381d8f4dcbSJay } 2391d8f4dcbSJay cacheOpShouldResp := true.B 2401d8f4dcbSJay } 2411d8f4dcbSJay when(CacheInstrucion.isWriteTag(io.cacheOp.req.bits.opCode)){ 2421d8f4dcbSJay for (i <- 0 until 2) { 2431d8f4dcbSJay tagArrays(i).io.w.req.valid := true.B 2441d8f4dcbSJay tagArrays(i).io.w.req.bits.apply( 2451d8f4dcbSJay data = io.cacheOp.req.bits.write_tag_low, 2461d8f4dcbSJay setIdx = io.cacheOp.req.bits.index, 2471d8f4dcbSJay waymask = UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 2481d8f4dcbSJay ) 2491d8f4dcbSJay } 2501d8f4dcbSJay cacheOpShouldResp := true.B 2511d8f4dcbSJay } 2521d8f4dcbSJay // TODO 2531d8f4dcbSJay // when(CacheInstrucion.isWriteTagECC(io.cacheOp.req.bits.opCode)){ 2541d8f4dcbSJay // for (i <- 0 until readPorts) { 2551d8f4dcbSJay // array(i).io.ecc_write.valid := true.B 2561d8f4dcbSJay // array(i).io.ecc_write.bits.idx := io.cacheOp.req.bits.index 2571d8f4dcbSJay // array(i).io.ecc_write.bits.way_en := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 2581d8f4dcbSJay // array(i).io.ecc_write.bits.ecc := io.cacheOp.req.bits.write_tag_ecc 2591d8f4dcbSJay // } 2601d8f4dcbSJay // cacheOpShouldResp := true.B 2611d8f4dcbSJay // } 2621d8f4dcbSJay } 2631d8f4dcbSJay io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp) 2641d8f4dcbSJay io.cacheOp.resp.bits.read_tag_low := Mux(io.cacheOp.resp.valid, 2651d8f4dcbSJay tagArrays(0).io.r.resp.asTypeOf(Vec(nWays, UInt(tagBits.W)))(io.cacheOp.req.bits.wayNum), 2661d8f4dcbSJay 0.U 2671d8f4dcbSJay ) 2681d8f4dcbSJay io.cacheOp.resp.bits.read_tag_ecc := DontCare // TODO 2691d8f4dcbSJay // TODO: deal with duplicated array 2701d8f4dcbSJay} 2711d8f4dcbSJay 2721d8f4dcbSJay 2731d8f4dcbSJayclass ICacheDataArray(implicit p: Parameters) extends ICacheArray 2741d8f4dcbSJay{ 275b37bce8eSJinYue 276b37bce8eSJinYue def getECCFromEncUnit(encUnit: UInt) = { 277b37bce8eSJinYue require(encUnit.getWidth == encDataUnitBits) 278e5f1252bSGuokai Chen if (encDataUnitBits == dataCodeUnit) { 279e5f1252bSGuokai Chen 0.U.asTypeOf(UInt(1.W)) 280e5f1252bSGuokai Chen } else { 281b37bce8eSJinYue encUnit(encDataUnitBits - 1, dataCodeUnit) 282b37bce8eSJinYue } 283e5f1252bSGuokai Chen } 284b37bce8eSJinYue 285b37bce8eSJinYue def getECCFromBlock(cacheblock: UInt) = { 286b37bce8eSJinYue // require(cacheblock.getWidth == blockBits) 287b37bce8eSJinYue VecInit((0 until dataCodeUnitNum).map { w => 288b37bce8eSJinYue val unit = cacheblock(dataCodeUnit * (w + 1) - 1, dataCodeUnit * w) 289b37bce8eSJinYue getECCFromEncUnit(cacheParams.dataCode.encode(unit)) 290b37bce8eSJinYue }) 291b37bce8eSJinYue } 292b37bce8eSJinYue 2931d8f4dcbSJay val io=IO{new Bundle{ 2941d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheDataWriteBundle)) 2951d8f4dcbSJay val read = Flipped(DecoupledIO(new ICacheReadBundle)) 2961d8f4dcbSJay val readResp = Output(new ICacheDataRespBundle) 297026615fcSWilliam Wang val cacheOp = Flipped(new L1CacheInnerOpIO) // customized cache op port 2981d8f4dcbSJay }} 2991d8f4dcbSJay 3001d8f4dcbSJay val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0) 3011d8f4dcbSJay val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0) 3021d8f4dcbSJay val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 3031d8f4dcbSJay val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 3041d8f4dcbSJay 305005e809bSJiuyang Liu val port_0_read_1_reg = RegEnable(port_0_read_1, io.read.fire()) 306005e809bSJiuyang Liu val port_1_read_0_reg = RegEnable(port_1_read_0, io.read.fire()) 3071d8f4dcbSJay 3081d8f4dcbSJay val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 3091d8f4dcbSJay val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 31058dbdfc2SJay val bank_idx = Seq(bank_0_idx, bank_1_idx) 3111d8f4dcbSJay 31270899835SWilliam Wang val write_bank_0 = WireInit(io.write.valid && !io.write.bits.bankIdx) 31370899835SWilliam Wang val write_bank_1 = WireInit(io.write.valid && io.write.bits.bankIdx) 3141d8f4dcbSJay 315b37bce8eSJinYue val write_data_bits = Wire(UInt(blockBits.W)) 316b37bce8eSJinYue val write_data_code = Wire(UInt(dataCodeEntryBits.W)) 3171d8f4dcbSJay 3181d8f4dcbSJay val dataArrays = (0 until 2) map { i => 3191d8f4dcbSJay val dataArray = Module(new SRAMTemplate( 320b37bce8eSJinYue UInt(blockBits.W), 3211d8f4dcbSJay set=nSets/2, 3221d8f4dcbSJay way=nWays, 3231d8f4dcbSJay shouldReset = true, 3241d8f4dcbSJay holdRead = true, 3251d8f4dcbSJay singlePort = true 3261d8f4dcbSJay )) 3271d8f4dcbSJay 3281d8f4dcbSJay if(i == 0) { 3291d8f4dcbSJay dataArray.io.r.req.valid := port_0_read_0 || port_1_read_0 3301d8f4dcbSJay dataArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1)) 3311d8f4dcbSJay dataArray.io.w.req.valid := write_bank_0 3321d8f4dcbSJay dataArray.io.w.req.bits.apply(data=write_data_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 3331d8f4dcbSJay } 3341d8f4dcbSJay else { 3351d8f4dcbSJay dataArray.io.r.req.valid := port_0_read_1 || port_1_read_1 3361d8f4dcbSJay dataArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1)) 3371d8f4dcbSJay dataArray.io.w.req.valid := write_bank_1 3381d8f4dcbSJay dataArray.io.w.req.bits.apply(data=write_data_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 3391d8f4dcbSJay } 3401d8f4dcbSJay 3411d8f4dcbSJay dataArray 3421d8f4dcbSJay } 3431d8f4dcbSJay 344b37bce8eSJinYue val codeArrays = (0 until 2) map { i => 345b37bce8eSJinYue val codeArray = Module(new SRAMTemplate( 346b37bce8eSJinYue UInt(dataCodeEntryBits.W), 347b37bce8eSJinYue set=nSets/2, 348b37bce8eSJinYue way=nWays, 349b37bce8eSJinYue shouldReset = true, 350b37bce8eSJinYue holdRead = true, 351b37bce8eSJinYue singlePort = true 352b37bce8eSJinYue )) 353b37bce8eSJinYue 354b37bce8eSJinYue if(i == 0) { 355b37bce8eSJinYue codeArray.io.r.req.valid := port_0_read_0 || port_1_read_0 356b37bce8eSJinYue codeArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1)) 357b37bce8eSJinYue codeArray.io.w.req.valid := write_bank_0 358b37bce8eSJinYue codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 359b37bce8eSJinYue } 360b37bce8eSJinYue else { 361b37bce8eSJinYue codeArray.io.r.req.valid := port_0_read_1 || port_1_read_1 362b37bce8eSJinYue codeArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1)) 363b37bce8eSJinYue codeArray.io.w.req.valid := write_bank_1 364b37bce8eSJinYue codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 365b37bce8eSJinYue } 366b37bce8eSJinYue 367b37bce8eSJinYue codeArray 368b37bce8eSJinYue } 369b37bce8eSJinYue 37019d62fa1SJenius io.read.ready := !io.write.valid && dataArrays.map(_.io.r.req.ready).reduce(_ && _) && codeArrays.map(_.io.r.req.ready).reduce(_ && _) 37119d62fa1SJenius 3721d8f4dcbSJay //Parity Decode 3731d8f4dcbSJay val read_datas = Wire(Vec(2,Vec(nWays,UInt(blockBits.W) ))) 374b37bce8eSJinYue val read_codes = Wire(Vec(2,Vec(nWays,UInt(dataCodeEntryBits.W) ))) 375b37bce8eSJinYue for(((dataArray,codeArray),i) <- dataArrays.zip(codeArrays).zipWithIndex){ 376b37bce8eSJinYue read_datas(i) := dataArray.io.r.resp.asTypeOf(Vec(nWays,UInt(blockBits.W))) 377b37bce8eSJinYue read_codes(i) := codeArray.io.r.resp.asTypeOf(Vec(nWays,UInt(dataCodeEntryBits.W))) 3781d8f4dcbSJay } 3791d8f4dcbSJay 38079b191f7SJay 3811d8f4dcbSJay //Parity Encode 3821d8f4dcbSJay val write = io.write.bits 383b37bce8eSJinYue val write_data = WireInit(write.data) 384b37bce8eSJinYue write_data_code := getECCFromBlock(write_data).asUInt 385b37bce8eSJinYue write_data_bits := write_data 3861d8f4dcbSJay 3871d8f4dcbSJay io.readResp.datas(0) := Mux( port_0_read_1_reg, read_datas(1) , read_datas(0)) 3881d8f4dcbSJay io.readResp.datas(1) := Mux( port_1_read_0_reg, read_datas(0) , read_datas(1)) 38979b191f7SJay io.readResp.codes(0) := Mux( port_0_read_1_reg, read_codes(1) , read_codes(0)) 39079b191f7SJay io.readResp.codes(1) := Mux( port_1_read_0_reg, read_codes(0) , read_codes(1)) 3911d8f4dcbSJay 3921d8f4dcbSJay io.write.ready := true.B 3931d8f4dcbSJay 3941d8f4dcbSJay // deal with customized cache op 3951d8f4dcbSJay require(nWays <= 32) 3961d8f4dcbSJay io.cacheOp.resp.bits := DontCare 3971d8f4dcbSJay val cacheOpShouldResp = WireInit(false.B) 3981d8f4dcbSJay when(io.cacheOp.req.valid){ 3991d8f4dcbSJay when( 4001d8f4dcbSJay CacheInstrucion.isReadData(io.cacheOp.req.bits.opCode) || 4011d8f4dcbSJay CacheInstrucion.isReadDataECC(io.cacheOp.req.bits.opCode) 4021d8f4dcbSJay ){ 4031d8f4dcbSJay (0 until 2).map(i => { 4041d8f4dcbSJay dataArrays(i).io.r.req.valid := true.B 4051d8f4dcbSJay dataArrays(i).io.r.req.bits.apply(setIdx = io.cacheOp.req.bits.index) 4061d8f4dcbSJay }) 4071d8f4dcbSJay cacheOpShouldResp := true.B 4081d8f4dcbSJay } 4091d8f4dcbSJay when(CacheInstrucion.isWriteData(io.cacheOp.req.bits.opCode)){ 4101d8f4dcbSJay (0 until 2).map(i => { 4111d8f4dcbSJay dataArrays(i).io.w.req.valid := io.cacheOp.req.bits.bank_num === i.U 41270899835SWilliam Wang dataArrays(i).io.w.req.bits.setIdx := io.cacheOp.req.bits.index 41370899835SWilliam Wang dataArrays(i).io.w.req.bits.waymask match { 41470899835SWilliam Wang case Some(waymask) => waymask := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 41570899835SWilliam Wang case None => 41670899835SWilliam Wang } 4171d8f4dcbSJay }) 41870899835SWilliam Wang write_data := io.cacheOp.req.bits.write_data_vec.asTypeOf(write_data.cloneType) 4191d8f4dcbSJay cacheOpShouldResp := true.B 4201d8f4dcbSJay } 4211d8f4dcbSJay } 4221d8f4dcbSJay io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp) 4231d8f4dcbSJay val dataresp = Mux(io.cacheOp.req.bits.bank_num(0).asBool, 42470899835SWilliam Wang read_datas(1), 42570899835SWilliam Wang read_datas(0) 4261d8f4dcbSJay ) 4271d8f4dcbSJay 4281d8f4dcbSJay val numICacheLineWords = blockBits / 64 4291d8f4dcbSJay require(blockBits >= 64 && isPow2(blockBits)) 4301d8f4dcbSJay for (wordIndex <- 0 until numICacheLineWords) { 4311d8f4dcbSJay io.cacheOp.resp.bits.read_data_vec(wordIndex) := dataresp(io.cacheOp.req.bits.wayNum(4, 0))(64*(wordIndex+1)-1, 64*wordIndex) 4321d8f4dcbSJay } 4331d8f4dcbSJay // io.cacheOp.resp.bits.read_data_ecc := Mux(io.cacheOp.resp.valid, 4341d8f4dcbSJay // bank_result(io.cacheOp.req.bits.bank_num).ecc, 4351d8f4dcbSJay // 0.U 4361d8f4dcbSJay // ) 4371d8f4dcbSJay} 4381d8f4dcbSJay 4391d8f4dcbSJay 4401d8f4dcbSJayclass ICacheIO(implicit p: Parameters) extends ICacheBundle 4411d8f4dcbSJay{ 44241cb8b61SJenius val hartId = Input(UInt(8.W)) 4437052722fSJay val prefetch = Flipped(new FtqPrefechBundle) 4441d8f4dcbSJay val stop = Input(Bool()) 4451d8f4dcbSJay val fetch = Vec(PortNumber, new ICacheMainPipeBundle) 44661e1db30SJay val pmp = Vec(PortNumber + 1, new ICachePMPBundle) 447*f1fe8698SLemover val itlb = Vec(PortNumber + 1, new TlbRequestIO) 4481d8f4dcbSJay val perfInfo = Output(new ICachePerfInfo) 44958dbdfc2SJay val error = new L1CacheErrorInfo 450ecccf78fSJay /* Cache Instruction */ 451ecccf78fSJay val csr = new L1CacheToCsrIO 452ecccf78fSJay /* CSR control signal */ 453ecccf78fSJay val csr_pf_enable = Input(Bool()) 454ecccf78fSJay val csr_parity_enable = Input(Bool()) 4551d8f4dcbSJay} 4561d8f4dcbSJay 4571d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters { 4581d8f4dcbSJay 4591d8f4dcbSJay val clientParameters = TLMasterPortParameters.v1( 4601d8f4dcbSJay Seq(TLMasterParameters.v1( 4611d8f4dcbSJay name = "icache", 4621d8f4dcbSJay sourceId = IdRange(0, cacheParams.nMissEntries + cacheParams.nReleaseEntries), 4637052722fSJay supportsProbe = TransferSizes(blockBytes), 4647052722fSJay supportsHint = TransferSizes(blockBytes) 4651d8f4dcbSJay )), 4661d8f4dcbSJay requestFields = cacheParams.reqFields, 4671d8f4dcbSJay echoFields = cacheParams.echoFields 4681d8f4dcbSJay ) 4691d8f4dcbSJay 4701d8f4dcbSJay val clientNode = TLClientNode(Seq(clientParameters)) 4711d8f4dcbSJay 4721d8f4dcbSJay lazy val module = new ICacheImp(this) 4731d8f4dcbSJay} 4741d8f4dcbSJay 4751ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents { 4761d8f4dcbSJay val io = IO(new ICacheIO) 4771d8f4dcbSJay 4787052722fSJay println("ICache:") 4797052722fSJay println(" ICacheSets: " + cacheParams.nSets) 4807052722fSJay println(" ICacheWays: " + cacheParams.nWays) 4817052722fSJay println(" ICacheBanks: " + PortNumber) 4827052722fSJay println(" hasPrefetch: " + cacheParams.hasPrefetch) 4837052722fSJay if(cacheParams.hasPrefetch){ 4847052722fSJay println(" nPrefetchEntries: " + cacheParams.nPrefetchEntries) 4857052722fSJay } 4867052722fSJay 4871d8f4dcbSJay val (bus, edge) = outer.clientNode.out.head 4881d8f4dcbSJay 4891d8f4dcbSJay val metaArray = Module(new ICacheMetaArray) 4901d8f4dcbSJay val dataArray = Module(new ICacheDataArray) 4912a25dbb4SJay val mainPipe = Module(new ICacheMainPipe) 4921d8f4dcbSJay val missUnit = Module(new ICacheMissUnit(edge)) 4931d8f4dcbSJay val releaseUnit = Module(new ReleaseUnit(edge)) 49400240ba6SJay val replacePipe = Module(new ICacheReplacePipe) 4951d8f4dcbSJay val probeQueue = Module(new ICacheProbeQueue(edge)) 4967052722fSJay val prefetchPipe = Module(new IPrefetchPipe) 4971d8f4dcbSJay 4987052722fSJay val meta_read_arb = Module(new Arbiter(new ICacheReadBundle, 3)) 4991d8f4dcbSJay val data_read_arb = Module(new Arbiter(new ICacheReadBundle, 2)) 5002a25dbb4SJay val meta_write_arb = Module(new Arbiter(new ICacheMetaWriteBundle(), 2 )) 5012a25dbb4SJay val replace_req_arb = Module(new Arbiter(new ReplacePipeReq, 2)) 50291df15e5SJay // val tlb_req_arb = Module(new Arbiter(new TlbReq, 2)) 5031d8f4dcbSJay 5042a25dbb4SJay meta_read_arb.io.in(ReplacePipeKey) <> replacePipe.io.meta_read 5057052722fSJay meta_read_arb.io.in(MainPipeKey) <> mainPipe.io.metaArray.toIMeta 5067052722fSJay meta_read_arb.io.in(2) <> prefetchPipe.io.toIMeta 5071d8f4dcbSJay metaArray.io.read <> meta_read_arb.io.out 5087052722fSJay 5092a25dbb4SJay replacePipe.io.meta_response <> metaArray.io.readResp 5102a25dbb4SJay mainPipe.io.metaArray.fromIMeta <> metaArray.io.readResp 5117052722fSJay prefetchPipe.io.fromIMeta <> metaArray.io.readResp 5121d8f4dcbSJay 5132a25dbb4SJay data_read_arb.io.in(ReplacePipeKey) <> replacePipe.io.data_read 5147052722fSJay data_read_arb.io.in(MainPipeKey) <> mainPipe.io.dataArray.toIData 5151d8f4dcbSJay dataArray.io.read <> data_read_arb.io.out 5162a25dbb4SJay replacePipe.io.data_response <> dataArray.io.readResp 5172a25dbb4SJay mainPipe.io.dataArray.fromIData <> dataArray.io.readResp 5181d8f4dcbSJay 5192a25dbb4SJay mainPipe.io.respStall := io.stop 5202a25dbb4SJay io.perfInfo := mainPipe.io.perfInfo 5211d8f4dcbSJay 5222a25dbb4SJay meta_write_arb.io.in(ReplacePipeKey) <> replacePipe.io.meta_write 5237052722fSJay meta_write_arb.io.in(MainPipeKey) <> missUnit.io.meta_write 5241d8f4dcbSJay 5251d8f4dcbSJay metaArray.io.write <> meta_write_arb.io.out 5261d8f4dcbSJay dataArray.io.write <> missUnit.io.data_write 5271d8f4dcbSJay 528ecccf78fSJay mainPipe.io.csr_parity_enable := io.csr_parity_enable 529ecccf78fSJay replacePipe.io.csr_parity_enable := io.csr_parity_enable 530ecccf78fSJay 5317052722fSJay if(cacheParams.hasPrefetch){ 5327052722fSJay prefetchPipe.io.fromFtq <> io.prefetch 533ecccf78fSJay when(!io.csr_pf_enable){ 534ecccf78fSJay prefetchPipe.io.fromFtq.req.valid := false.B 535ecccf78fSJay io.prefetch.req.ready := true.B 536ecccf78fSJay } 5377052722fSJay } else { 5387052722fSJay prefetchPipe.io.fromFtq <> DontCare 5397052722fSJay } 5407052722fSJay 54161e1db30SJay io.pmp(0) <> mainPipe.io.pmp(0) 54261e1db30SJay io.pmp(1) <> mainPipe.io.pmp(1) 54361e1db30SJay io.pmp(2) <> prefetchPipe.io.pmp 5447052722fSJay 545a108d429SJay prefetchPipe.io.prefetchEnable := mainPipe.io.prefetchEnable 546a108d429SJay prefetchPipe.io.prefetchDisable := mainPipe.io.prefetchDisable 547a108d429SJay 548a108d429SJay 54991df15e5SJay // tlb_req_arb.io.in(0) <> mainPipe.io.itlb(0).req 55091df15e5SJay // tlb_req_arb.io.in(1) <> prefetchPipe.io.iTLBInter.req 55191df15e5SJay // io.itlb(0).req <> tlb_req_arb.io.out 5527052722fSJay 55391df15e5SJay // mainPipe.io.itlb(0).resp <> io.itlb(0).resp 55491df15e5SJay // prefetchPipe.io.iTLBInter.resp <> io.itlb(0).resp 5557052722fSJay 55691df15e5SJay // when(mainPipe.io.itlb(0).req.fire() && prefetchPipe.io.iTLBInter.req.fire()) 55791df15e5SJay // { 55891df15e5SJay // assert(false.B, "Both mainPipe ITLB and prefetchPipe ITLB fire!") 55991df15e5SJay // } 5607052722fSJay 56191df15e5SJay io.itlb(0) <> mainPipe.io.itlb(0) 5627052722fSJay io.itlb(1) <> mainPipe.io.itlb(1) 563*f1fe8698SLemover // io.itlb(2) <> mainPipe.io.itlb(2) 564*f1fe8698SLemover // io.itlb(3) <> mainPipe.io.itlb(3) 565*f1fe8698SLemover io.itlb(2) <> prefetchPipe.io.iTLBInter 5667052722fSJay 5671d8f4dcbSJay for(i <- 0 until PortNumber){ 5682a25dbb4SJay io.fetch(i).resp <> mainPipe.io.fetch(i).resp 5691d8f4dcbSJay 5702a25dbb4SJay missUnit.io.req(i) <> mainPipe.io.mshr(i).toMSHR 5712a25dbb4SJay mainPipe.io.mshr(i).fromMSHR <> missUnit.io.resp(i) 5721d8f4dcbSJay 5731d8f4dcbSJay } 5741d8f4dcbSJay 5757052722fSJay missUnit.io.prefetch_req <> prefetchPipe.io.toMissUnit.enqReq 57641cb8b61SJenius missUnit.io.hartId := io.hartId 57700240ba6SJay prefetchPipe.io.fromMSHR <> missUnit.io.prefetch_check 57800240ba6SJay 5791d8f4dcbSJay bus.b.ready := false.B 5801d8f4dcbSJay bus.c.valid := false.B 5811d8f4dcbSJay bus.c.bits := DontCare 5821d8f4dcbSJay bus.e.valid := false.B 5831d8f4dcbSJay bus.e.bits := DontCare 5841d8f4dcbSJay 5851d8f4dcbSJay bus.a <> missUnit.io.mem_acquire 5861d8f4dcbSJay bus.e <> missUnit.io.mem_finish 5871d8f4dcbSJay 58800240ba6SJay releaseUnit.io.req <> replacePipe.io.release_req 58900240ba6SJay replacePipe.io.release_finish := releaseUnit.io.finish 5901d8f4dcbSJay bus.c <> releaseUnit.io.mem_release 5911d8f4dcbSJay 5921d8f4dcbSJay // connect bus d 5931d8f4dcbSJay missUnit.io.mem_grant.valid := false.B 5941d8f4dcbSJay missUnit.io.mem_grant.bits := DontCare 5951d8f4dcbSJay 5961d8f4dcbSJay releaseUnit.io.mem_grant.valid := false.B 5971d8f4dcbSJay releaseUnit.io.mem_grant.bits := DontCare 5981d8f4dcbSJay 5991d8f4dcbSJay //Probe through bus b 6001d8f4dcbSJay probeQueue.io.mem_probe <> bus.b 6011d8f4dcbSJay 60258dbdfc2SJay //Parity error port 60358dbdfc2SJay val errors = mainPipe.io.errors ++ Seq(replacePipe.io.error) 6040f59c834SWilliam Wang io.error <> RegNext(Mux1H(errors.map(e => e.valid -> e))) 60558dbdfc2SJay 6062a25dbb4SJay 6072a25dbb4SJay /** Block set-conflict request */ 6082a25dbb4SJay val probeReqValid = probeQueue.io.pipe_req.valid 6092a25dbb4SJay val probeReqVidx = probeQueue.io.pipe_req.bits.vidx 6102a25dbb4SJay 6112a25dbb4SJay val hasVictim = VecInit(missUnit.io.victimInfor.map(_.valid)) 6122a25dbb4SJay val victimSetSeq = VecInit(missUnit.io.victimInfor.map(_.vidx)) 6132a25dbb4SJay 6142a25dbb4SJay val probeShouldBlock = VecInit(hasVictim.zip(victimSetSeq).map{case(valid, idx) => valid && probeReqValid && idx === probeReqVidx }).reduce(_||_) 6152a25dbb4SJay 6162a25dbb4SJay val releaseReqValid = missUnit.io.release_req.valid 6172a25dbb4SJay val releaseReqVidx = missUnit.io.release_req.bits.vidx 6182a25dbb4SJay 6192a25dbb4SJay val hasConflict = VecInit(Seq( 6202a25dbb4SJay replacePipe.io.status.r1_set.valid, 62100240ba6SJay replacePipe.io.status.r2_set.valid, 62200240ba6SJay replacePipe.io.status.r3_set.valid 6231d8f4dcbSJay )) 6241d8f4dcbSJay 6252a25dbb4SJay val conflictIdx = VecInit(Seq( 6262a25dbb4SJay replacePipe.io.status.r1_set.bits, 62700240ba6SJay replacePipe.io.status.r2_set.bits, 62800240ba6SJay replacePipe.io.status.r3_set.bits 6291d8f4dcbSJay )) 6301d8f4dcbSJay 6312a25dbb4SJay val releaseShouldBlock = VecInit(hasConflict.zip(conflictIdx).map{case(valid, idx) => valid && releaseReqValid && idx === releaseReqVidx }).reduce(_||_) 6321d8f4dcbSJay 63392acb6b9SJay replace_req_arb.io.in(ReplacePipeKey) <> probeQueue.io.pipe_req 63492acb6b9SJay replace_req_arb.io.in(ReplacePipeKey).valid := probeQueue.io.pipe_req.valid && !probeShouldBlock 6357052722fSJay replace_req_arb.io.in(MainPipeKey) <> missUnit.io.release_req 6367052722fSJay replace_req_arb.io.in(MainPipeKey).valid := missUnit.io.release_req.valid && !releaseShouldBlock 63792acb6b9SJay replacePipe.io.pipe_req <> replace_req_arb.io.out 63892acb6b9SJay 639c90cd2d1SJay when(releaseShouldBlock){ 640c90cd2d1SJay missUnit.io.release_req.ready := false.B 641c90cd2d1SJay } 642c90cd2d1SJay 643c90cd2d1SJay when(probeShouldBlock){ 644c90cd2d1SJay probeQueue.io.pipe_req.ready := false.B 645c90cd2d1SJay } 646c90cd2d1SJay 647c90cd2d1SJay 64892acb6b9SJay missUnit.io.release_resp <> replacePipe.io.pipe_resp 64992acb6b9SJay 6501d8f4dcbSJay 6512a25dbb4SJay (0 until PortNumber).map{i => 6522a25dbb4SJay mainPipe.io.fetch(i).req.valid := io.fetch(i).req.valid //&& !fetchShouldBlock(i) 6532a25dbb4SJay io.fetch(i).req.ready := mainPipe.io.fetch(i).req.ready //&& !fetchShouldBlock(i) 6542a25dbb4SJay mainPipe.io.fetch(i).req.bits := io.fetch(i).req.bits 6552a25dbb4SJay } 6561d8f4dcbSJay 6571d8f4dcbSJay // in L1ICache, we only expect GrantData and ReleaseAck 6581d8f4dcbSJay bus.d.ready := false.B 6591d8f4dcbSJay when ( bus.d.bits.opcode === TLMessages.GrantData) { 6601d8f4dcbSJay missUnit.io.mem_grant <> bus.d 6611d8f4dcbSJay } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 6621d8f4dcbSJay releaseUnit.io.mem_grant <> bus.d 6631d8f4dcbSJay } .otherwise { 6641d8f4dcbSJay assert (!bus.d.fire()) 6651d8f4dcbSJay } 6661d8f4dcbSJay 6671d8f4dcbSJay val perfEvents = Seq( 6681d8f4dcbSJay ("icache_miss_cnt ", false.B), 6691d8f4dcbSJay ("icache_miss_penty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)), 6701d8f4dcbSJay ) 6711ca0e4f3SYinan Xu generatePerfEvent() 6721d8f4dcbSJay 6731d8f4dcbSJay // Customized csr cache op support 6741d8f4dcbSJay val cacheOpDecoder = Module(new CSRCacheOpDecoder("icache", CacheInstrucion.COP_ID_ICACHE)) 6751d8f4dcbSJay cacheOpDecoder.io.csr <> io.csr 6761d8f4dcbSJay dataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 6771d8f4dcbSJay metaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 6781d8f4dcbSJay cacheOpDecoder.io.cache.resp.valid := 6791d8f4dcbSJay dataArray.io.cacheOp.resp.valid || 6801d8f4dcbSJay metaArray.io.cacheOp.resp.valid 6811d8f4dcbSJay cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 6821d8f4dcbSJay dataArray.io.cacheOp.resp.valid -> dataArray.io.cacheOp.resp.bits, 6831d8f4dcbSJay metaArray.io.cacheOp.resp.valid -> metaArray.io.cacheOp.resp.bits, 6841d8f4dcbSJay )) 6859ef181f4SWilliam Wang cacheOpDecoder.io.error := io.error 6861d8f4dcbSJay assert(!((dataArray.io.cacheOp.resp.valid +& metaArray.io.cacheOp.resp.valid) > 1.U)) 6871d8f4dcbSJay} 688