11d8f4dcbSJay/*************************************************************************************** 2e3da8badSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 3e3da8badSTang Haojin* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences 41d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 51d8f4dcbSJay* 61d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 71d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 81d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 91d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 101d8f4dcbSJay* 111d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 121d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 131d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 141d8f4dcbSJay* 151d8f4dcbSJay* See the Mulan PSL v2 for more details. 161d8f4dcbSJay***************************************************************************************/ 171d8f4dcbSJay 181d8f4dcbSJaypackage xiangshan.frontend.icache 191d8f4dcbSJay 201d8f4dcbSJayimport chisel3._ 217f37d55fSTang Haojinimport chisel3.util._ 22*cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.IdRange 23*cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModule 24*cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModuleImp 251d8f4dcbSJayimport freechips.rocketchip.tilelink._ 261d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase 27*cf7d6b7aSMuziimport huancun.AliasField 28*cf7d6b7aSMuziimport huancun.PrefetchField 297f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters 303c02ee8fSwakafaimport utility._ 317f37d55fSTang Haojinimport utils._ 327f37d55fSTang Haojinimport xiangshan._ 337f37d55fSTang Haojinimport xiangshan.cache._ 347f37d55fSTang Haojinimport xiangshan.cache.mmu.TlbRequestIO 357f37d55fSTang Haojinimport xiangshan.frontend._ 361d8f4dcbSJay 371d8f4dcbSJaycase class ICacheParameters( 381d8f4dcbSJay nSets: Int = 256, 3976b0dfefSGuokai Chen nWays: Int = 4, 401d8f4dcbSJay rowBits: Int = 64, 411d8f4dcbSJay nTLBEntries: Int = 32, 421d8f4dcbSJay tagECC: Option[String] = None, 431d8f4dcbSJay dataECC: Option[String] = None, 441d8f4dcbSJay replacer: Option[String] = Some("random"), 45b92f8445Sssszwic PortNumber: Int = 2, 46b92f8445Sssszwic nFetchMshr: Int = 4, 47b92f8445Sssszwic nPrefetchMshr: Int = 10, 48b92f8445Sssszwic nWayLookupSize: Int = 32, 49b92f8445Sssszwic DataCodeUnit: Int = 64, 50b92f8445Sssszwic ICacheDataBanks: Int = 8, 51b92f8445Sssszwic ICacheDataSRAMWidth: Int = 66, 52b92f8445Sssszwic // TODO: hard code, need delete 53b92f8445Sssszwic partWayNum: Int = 4, 541d8f4dcbSJay nMMIOs: Int = 1, 551d8f4dcbSJay blockBytes: Int = 64 561d8f4dcbSJay) extends L1CacheParameters { 571d8f4dcbSJay 581d8f4dcbSJay val setBytes = nSets * blockBytes 59*cf7d6b7aSMuzi val aliasBitsOpt = 60*cf7d6b7aSMuzi DCacheParameters().aliasBitsOpt // if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 611d8f4dcbSJay val reqFields: Seq[BundleFieldBase] = Seq( 62d2b20d1aSTang Haojin PrefetchField(), 63d2b20d1aSTang Haojin ReqSourceField() 641d8f4dcbSJay ) ++ aliasBitsOpt.map(AliasField) 6515ee59e4Swakafa val echoFields: Seq[BundleFieldBase] = Nil 661d8f4dcbSJay def tagCode: Code = Code.fromString(tagECC) 671d8f4dcbSJay def dataCode: Code = Code.fromString(dataECC) 681d8f4dcbSJay def replacement = ReplacementPolicy.fromString(replacer, nWays, nSets) 691d8f4dcbSJay} 701d8f4dcbSJay 711d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst { 721d8f4dcbSJay val cacheParams = icacheParameters 731d8f4dcbSJay 74b92f8445Sssszwic def ICacheSets = cacheParams.nSets 75b92f8445Sssszwic def ICacheWays = cacheParams.nWays 76b92f8445Sssszwic def PortNumber = cacheParams.PortNumber 77b92f8445Sssszwic def nFetchMshr = cacheParams.nFetchMshr 78b92f8445Sssszwic def nPrefetchMshr = cacheParams.nPrefetchMshr 79b92f8445Sssszwic def nWayLookupSize = cacheParams.nWayLookupSize 80b92f8445Sssszwic def DataCodeUnit = cacheParams.DataCodeUnit 81b92f8445Sssszwic def ICacheDataBanks = cacheParams.ICacheDataBanks 82b92f8445Sssszwic def ICacheDataSRAMWidth = cacheParams.ICacheDataSRAMWidth 83b92f8445Sssszwic def partWayNum = cacheParams.partWayNum 84b92f8445Sssszwic 858966a895Sxu_zh def ICacheMetaBits = tagBits // FIXME: unportable: maybe use somemethod to get width 868966a895Sxu_zh def ICacheMetaCodeBits = 1 // FIXME: unportable: maybe use cacheParams.tagCode.somemethod to get width 878966a895Sxu_zh def ICacheMetaEntryBits = ICacheMetaBits + ICacheMetaCodeBits 888966a895Sxu_zh 89b92f8445Sssszwic def ICacheDataBits = blockBits / ICacheDataBanks 908966a895Sxu_zh def ICacheDataCodeSegs = math.ceil(ICacheDataBits / DataCodeUnit).toInt // split data to segments for ECC checking 91*cf7d6b7aSMuzi def ICacheDataCodeBits = 92*cf7d6b7aSMuzi ICacheDataCodeSegs * 1 // FIXME: unportable: maybe use cacheParams.dataCode.somemethod to get width 938966a895Sxu_zh def ICacheDataEntryBits = ICacheDataBits + ICacheDataCodeBits 94b92f8445Sssszwic def ICacheBankVisitNum = 32 * 8 / ICacheDataBits + 1 951d8f4dcbSJay def highestIdxBit = log2Ceil(nSets) - 1 961d8f4dcbSJay 97b92f8445Sssszwic require((ICacheDataBanks >= 2) && isPow2(ICacheDataBanks)) 988966a895Sxu_zh require(ICacheDataSRAMWidth >= ICacheDataEntryBits) 99b92f8445Sssszwic require(isPow2(ICacheSets), s"nSets($ICacheSets) must be pow2") 100b92f8445Sssszwic require(isPow2(ICacheWays), s"nWays($ICacheWays) must be pow2") 1011d8f4dcbSJay 102adc7b752SJenius def getBits(num: Int) = log2Ceil(num).W 103adc7b752SJenius 1042a25dbb4SJay def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = { 1052a25dbb4SJay val valid = RegInit(false.B) 106*cf7d6b7aSMuzi when(thisFlush)(valid := false.B) 107*cf7d6b7aSMuzi .elsewhen(lastFire && !lastFlush)(valid := true.B) 108*cf7d6b7aSMuzi .elsewhen(thisFire)(valid := false.B) 1092a25dbb4SJay valid 1102a25dbb4SJay } 1112a25dbb4SJay 112*cf7d6b7aSMuzi def ResultHoldBypass[T <: Data](data: T, valid: Bool): T = 1132a25dbb4SJay Mux(valid, data, RegEnable(data, valid)) 1142a25dbb4SJay 115*cf7d6b7aSMuzi def ResultHoldBypass[T <: Data](data: T, init: T, valid: Bool): T = 116b92f8445Sssszwic Mux(valid, data, RegEnable(data, init, valid)) 117b92f8445Sssszwic 118b1ded4e8Sguohongyu def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool = { 119b1ded4e8Sguohongyu val bit = RegInit(false.B) 120*cf7d6b7aSMuzi when(flush)(bit := false.B) 121*cf7d6b7aSMuzi .elsewhen(valid && !release)(bit := true.B) 122*cf7d6b7aSMuzi .elsewhen(release)(bit := false.B) 123b1ded4e8Sguohongyu bit || valid 124b1ded4e8Sguohongyu } 125b1ded4e8Sguohongyu 1265470b21eSguohongyu def blockCounter(block: Bool, flush: Bool, threshold: Int): Bool = { 1275470b21eSguohongyu val counter = RegInit(0.U(log2Up(threshold + 1).W)) 128*cf7d6b7aSMuzi when(block)(counter := counter + 1.U) 129*cf7d6b7aSMuzi when(flush)(counter := 0.U) 1305470b21eSguohongyu counter > threshold.U 1315470b21eSguohongyu } 1325470b21eSguohongyu 133*cf7d6b7aSMuzi def InitQueue[T <: Data](entry: T, size: Int): Vec[T] = 13458c354d0Sssszwic return RegInit(VecInit(Seq.fill(size)(0.U.asTypeOf(entry.cloneType)))) 13558c354d0Sssszwic 1368966a895Sxu_zh def encodeMetaECC(meta: UInt): UInt = { 1378966a895Sxu_zh require(meta.getWidth == ICacheMetaBits) 1388966a895Sxu_zh val code = cacheParams.tagCode.encode(meta) >> ICacheMetaBits 1398966a895Sxu_zh code.asTypeOf(UInt(ICacheMetaCodeBits.W)) 1408966a895Sxu_zh } 1418966a895Sxu_zh 1428966a895Sxu_zh def encodeDataECC(data: UInt): UInt = { 1438966a895Sxu_zh require(data.getWidth == ICacheDataBits) 1448966a895Sxu_zh val datas = data.asTypeOf(Vec(ICacheDataCodeSegs, UInt((ICacheDataBits / ICacheDataCodeSegs).W))) 1458966a895Sxu_zh val codes = VecInit(datas.map(cacheParams.dataCode.encode(_) >> (ICacheDataBits / ICacheDataCodeSegs))) 1468966a895Sxu_zh codes.asTypeOf(UInt(ICacheDataCodeBits.W)) 147b92f8445Sssszwic } 14858c354d0Sssszwic 149b92f8445Sssszwic def getBankSel(blkOffset: UInt, valid: Bool = true.B): Vec[UInt] = { 150b92f8445Sssszwic val bankIdxLow = Cat(0.U(1.W), blkOffset) >> log2Ceil(blockBytes / ICacheDataBanks) 151b92f8445Sssszwic val bankIdxHigh = (Cat(0.U(1.W), blkOffset) + 32.U) >> log2Ceil(blockBytes / ICacheDataBanks) 152b92f8445Sssszwic val bankSel = VecInit((0 until ICacheDataBanks * 2).map(i => (i.U >= bankIdxLow) && (i.U <= bankIdxHigh))) 153*cf7d6b7aSMuzi assert( 154*cf7d6b7aSMuzi !valid || PopCount(bankSel) === ICacheBankVisitNum.U, 155*cf7d6b7aSMuzi "The number of bank visits must be %d, but bankSel=0x%x", 156*cf7d6b7aSMuzi ICacheBankVisitNum.U, 157*cf7d6b7aSMuzi bankSel.asUInt 158*cf7d6b7aSMuzi ) 159b92f8445Sssszwic bankSel.asTypeOf(UInt((ICacheDataBanks * 2).W)).asTypeOf(Vec(2, UInt(ICacheDataBanks.W))) 160b92f8445Sssszwic } 161b92f8445Sssszwic 162b92f8445Sssszwic def getLineSel(blkOffset: UInt)(implicit p: Parameters): Vec[Bool] = { 163b92f8445Sssszwic val bankIdxLow = blkOffset >> log2Ceil(blockBytes / ICacheDataBanks) 164b92f8445Sssszwic val lineSel = VecInit((0 until ICacheDataBanks).map(i => i.U < bankIdxLow)) 165b92f8445Sssszwic lineSel 166b92f8445Sssszwic } 167b92f8445Sssszwic 168b92f8445Sssszwic def getBlkAddr(addr: UInt) = addr >> blockOffBits 1698966a895Sxu_zh def getPhyTagFromBlk(addr: UInt): UInt = addr >> (pgUntagBits - blockOffBits) 170b92f8445Sssszwic def getIdxFromBlk(addr: UInt) = addr(idxBits - 1, 0) 171b92f8445Sssszwic def get_paddr_from_ptag(vaddr: UInt, ptag: UInt) = Cat(ptag, vaddr(pgUntagBits - 1, 0)) 1721d8f4dcbSJay} 1731d8f4dcbSJay 1741d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle 1751d8f4dcbSJay with HasICacheParameters 1761d8f4dcbSJay 1771d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule 1781d8f4dcbSJay with HasICacheParameters 1791d8f4dcbSJay 1801d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule 1811d8f4dcbSJay with HasICacheParameters 1821d8f4dcbSJay 1831d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle { 1841d8f4dcbSJay val tag = UInt(tagBits.W) 1851d8f4dcbSJay} 1861d8f4dcbSJay 1871d8f4dcbSJayobject ICacheMetadata { 1884da04e5bSguohongyu def apply(tag: Bits)(implicit p: Parameters) = { 1899442775eSguohongyu val meta = Wire(new ICacheMetadata) 1901d8f4dcbSJay meta.tag := tag 1911d8f4dcbSJay meta 1921d8f4dcbSJay } 1931d8f4dcbSJay} 1941d8f4dcbSJay 195*cf7d6b7aSMuziclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray { 1968966a895Sxu_zh class ICacheMetaEntry(implicit p: Parameters) extends ICacheBundle { 1978966a895Sxu_zh val meta: ICacheMetadata = new ICacheMetadata 1988966a895Sxu_zh val code: UInt = UInt(ICacheMetaCodeBits.W) 1998966a895Sxu_zh } 2001d8f4dcbSJay 2018966a895Sxu_zh private object ICacheMetaEntry { 2028966a895Sxu_zh def apply(meta: ICacheMetadata)(implicit p: Parameters): ICacheMetaEntry = { 2038966a895Sxu_zh val entry = Wire(new ICacheMetaEntry) 2048966a895Sxu_zh entry.meta := meta 2058966a895Sxu_zh entry.code := encodeMetaECC(meta.asUInt) 2068966a895Sxu_zh entry 2078966a895Sxu_zh } 2088966a895Sxu_zh } 2098966a895Sxu_zh 2108966a895Sxu_zh // sanity check 2118966a895Sxu_zh require(ICacheMetaEntryBits == (new ICacheMetaEntry).getWidth) 2128966a895Sxu_zh 2138966a895Sxu_zh val io = IO(new Bundle { 2141d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheMetaWriteBundle)) 215afed18b5SJenius val read = Flipped(DecoupledIO(new ICacheReadBundle)) 2161d8f4dcbSJay val readResp = Output(new ICacheMetaRespBundle) 2172a6078bfSguohongyu val fencei = Input(Bool()) 2188966a895Sxu_zh }) 219afed18b5SJenius 220afed18b5SJenius val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0) 221afed18b5SJenius val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0) 222afed18b5SJenius val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 223afed18b5SJenius val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 224afed18b5SJenius 225b92f8445Sssszwic val port_0_read_0_reg = RegEnable(port_0_read_0, 0.U.asTypeOf(port_0_read_0), io.read.fire) 226b92f8445Sssszwic val port_0_read_1_reg = RegEnable(port_0_read_1, 0.U.asTypeOf(port_0_read_1), io.read.fire) 227b92f8445Sssszwic val port_1_read_1_reg = RegEnable(port_1_read_1, 0.U.asTypeOf(port_1_read_1), io.read.fire) 228b92f8445Sssszwic val port_1_read_0_reg = RegEnable(port_1_read_0, 0.U.asTypeOf(port_1_read_0), io.read.fire) 229afed18b5SJenius 230afed18b5SJenius val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 231afed18b5SJenius val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 232afed18b5SJenius val bank_idx = Seq(bank_0_idx, bank_1_idx) 233afed18b5SJenius 234afed18b5SJenius val write_bank_0 = io.write.valid && !io.write.bits.bankIdx 235afed18b5SJenius val write_bank_1 = io.write.valid && io.write.bits.bankIdx 2361d8f4dcbSJay 237*cf7d6b7aSMuzi val write_meta_bits = ICacheMetaEntry(meta = 238*cf7d6b7aSMuzi ICacheMetadata( 2398966a895Sxu_zh tag = io.write.bits.phyTag 240*cf7d6b7aSMuzi ) 241*cf7d6b7aSMuzi ) 2421d8f4dcbSJay 243afed18b5SJenius val tagArrays = (0 until 2) map { bank => 244afed18b5SJenius val tagArray = Module(new SRAMTemplate( 2458966a895Sxu_zh new ICacheMetaEntry(), 246afed18b5SJenius set = nSets / 2, 247afed18b5SJenius way = nWays, 248afed18b5SJenius shouldReset = true, 249afed18b5SJenius holdRead = true, 250afed18b5SJenius singlePort = true 2511d8f4dcbSJay )) 2521d8f4dcbSJay 253afed18b5SJenius // meta connection 254afed18b5SJenius if (bank == 0) { 255afed18b5SJenius tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0 256afed18b5SJenius tagArray.io.r.req.bits.apply(setIdx = bank_0_idx(highestIdxBit, 1)) 257afed18b5SJenius tagArray.io.w.req.valid := write_bank_0 258*cf7d6b7aSMuzi tagArray.io.w.req.bits.apply( 259*cf7d6b7aSMuzi data = write_meta_bits, 260*cf7d6b7aSMuzi setIdx = io.write.bits.virIdx(highestIdxBit, 1), 261*cf7d6b7aSMuzi waymask = io.write.bits.waymask 262*cf7d6b7aSMuzi ) 263*cf7d6b7aSMuzi } else { 264afed18b5SJenius tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1 265afed18b5SJenius tagArray.io.r.req.bits.apply(setIdx = bank_1_idx(highestIdxBit, 1)) 266afed18b5SJenius tagArray.io.w.req.valid := write_bank_1 267*cf7d6b7aSMuzi tagArray.io.w.req.bits.apply( 268*cf7d6b7aSMuzi data = write_meta_bits, 269*cf7d6b7aSMuzi setIdx = io.write.bits.virIdx(highestIdxBit, 1), 270*cf7d6b7aSMuzi waymask = io.write.bits.waymask 271*cf7d6b7aSMuzi ) 272afed18b5SJenius } 2731d8f4dcbSJay 2741d8f4dcbSJay tagArray 2751d8f4dcbSJay } 276b37bce8eSJinYue 277b92f8445Sssszwic val read_set_idx_next = RegEnable(io.read.bits.vSetIdx, 0.U.asTypeOf(io.read.bits.vSetIdx), io.read.fire) 2789442775eSguohongyu val valid_array = RegInit(VecInit(Seq.fill(nWays)(0.U(nSets.W)))) 27960672d5eSguohongyu val valid_metas = Wire(Vec(PortNumber, Vec(nWays, Bool()))) 28060672d5eSguohongyu // valid read 28160672d5eSguohongyu (0 until PortNumber).foreach(i => 28260672d5eSguohongyu (0 until nWays).foreach(way => 28360672d5eSguohongyu valid_metas(i)(way) := valid_array(way)(read_set_idx_next(i)) 284*cf7d6b7aSMuzi ) 285*cf7d6b7aSMuzi ) 28660672d5eSguohongyu io.readResp.entryValid := valid_metas 28760672d5eSguohongyu 2882a6078bfSguohongyu io.read.ready := !io.write.valid && !io.fencei && tagArrays.map(_.io.r.req.ready).reduce(_ && _) 289afed18b5SJenius 29060672d5eSguohongyu // valid write 29160672d5eSguohongyu val way_num = OHToUInt(io.write.bits.waymask) 29260672d5eSguohongyu when(io.write.valid) { 2939442775eSguohongyu valid_array(way_num) := valid_array(way_num).bitSet(io.write.bits.virIdx, true.B) 29460672d5eSguohongyu } 2951d8f4dcbSJay 2969442775eSguohongyu XSPerfAccumulate("meta_refill_num", io.write.valid) 2979442775eSguohongyu 2988966a895Sxu_zh io.readResp.metas <> DontCare 2998966a895Sxu_zh io.readResp.codes <> DontCare 300*cf7d6b7aSMuzi val readMetaEntries = tagArrays.map(port => port.io.r.resp.asTypeOf(Vec(nWays, new ICacheMetaEntry()))) 3018966a895Sxu_zh val readMetas = readMetaEntries.map(_.map(_.meta)) 3028966a895Sxu_zh val readCodes = readMetaEntries.map(_.map(_.code)) 3038966a895Sxu_zh 3048966a895Sxu_zh // TEST: force ECC to fail by setting readCodes to 0 3058966a895Sxu_zh if (ICacheForceMetaECCError) { 3068966a895Sxu_zh readCodes.foreach(_.foreach(_ := 0.U)) 3078966a895Sxu_zh } 3088966a895Sxu_zh 3091d8f4dcbSJay when(port_0_read_0_reg) { 3108966a895Sxu_zh io.readResp.metas(0) := readMetas(0) 3118966a895Sxu_zh io.readResp.codes(0) := readCodes(0) 3121d8f4dcbSJay }.elsewhen(port_0_read_1_reg) { 3138966a895Sxu_zh io.readResp.metas(0) := readMetas(1) 3148966a895Sxu_zh io.readResp.codes(0) := readCodes(1) 3151d8f4dcbSJay } 3161d8f4dcbSJay 3171d8f4dcbSJay when(port_1_read_0_reg) { 3188966a895Sxu_zh io.readResp.metas(1) := readMetas(0) 3198966a895Sxu_zh io.readResp.codes(1) := readCodes(0) 3201d8f4dcbSJay }.elsewhen(port_1_read_1_reg) { 3218966a895Sxu_zh io.readResp.metas(1) := readMetas(1) 3228966a895Sxu_zh io.readResp.codes(1) := readCodes(1) 3231d8f4dcbSJay } 3241d8f4dcbSJay 3250c26d810Sguohongyu io.write.ready := true.B // TODO : has bug ? should be !io.cacheOp.req.valid 3262a6078bfSguohongyu 3272a6078bfSguohongyu // fencei logic : reset valid_array 3282a6078bfSguohongyu when(io.fencei) { 3292a6078bfSguohongyu (0 until nWays).foreach(way => 3302a6078bfSguohongyu valid_array(way) := 0.U 3312a6078bfSguohongyu ) 3322a6078bfSguohongyu } 3331d8f4dcbSJay} 3341d8f4dcbSJay 335*cf7d6b7aSMuziclass ICacheDataArray(implicit p: Parameters) extends ICacheArray { 336b92f8445Sssszwic class ICacheDataEntry(implicit p: Parameters) extends ICacheBundle { 337b92f8445Sssszwic val data = UInt(ICacheDataBits.W) 3388966a895Sxu_zh val code = UInt(ICacheDataCodeBits.W) 339e5f1252bSGuokai Chen } 340b37bce8eSJinYue 341b92f8445Sssszwic object ICacheDataEntry { 342b92f8445Sssszwic def apply(data: UInt)(implicit p: Parameters) = { 343b92f8445Sssszwic val entry = Wire(new ICacheDataEntry) 344b92f8445Sssszwic entry.data := data 3458966a895Sxu_zh entry.code := encodeDataECC(data) 346b92f8445Sssszwic entry 347b37bce8eSJinYue } 348b92f8445Sssszwic } 349a61a35e0Sssszwic 350*cf7d6b7aSMuzi val io = IO { 351*cf7d6b7aSMuzi new Bundle { 3521d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheDataWriteBundle)) 353b92f8445Sssszwic // TODO: fix hard code 354b92f8445Sssszwic val read = Flipped(Vec(4, DecoupledIO(new ICacheReadBundle))) 3551d8f4dcbSJay val readResp = Output(new ICacheDataRespBundle) 356*cf7d6b7aSMuzi } 357*cf7d6b7aSMuzi } 358b92f8445Sssszwic 359a61a35e0Sssszwic /** 360a61a35e0Sssszwic ****************************************************************************** 361a61a35e0Sssszwic * data array 362a61a35e0Sssszwic ****************************************************************************** 363a61a35e0Sssszwic */ 364b92f8445Sssszwic val writeDatas = io.write.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt(ICacheDataBits.W))) 365b92f8445Sssszwic val writeEntries = writeDatas.map(ICacheDataEntry(_).asUInt) 366b92f8445Sssszwic 367b92f8445Sssszwic val bankSel = getBankSel(io.read(0).bits.blkOffset, io.read(0).valid) 368b92f8445Sssszwic val lineSel = getLineSel(io.read(0).bits.blkOffset) 369b92f8445Sssszwic val waymasks = io.read(0).bits.wayMask 370b92f8445Sssszwic val masks = Wire(Vec(nWays, Vec(ICacheDataBanks, Bool()))) 371b92f8445Sssszwic (0 until nWays).foreach { way => 372b92f8445Sssszwic (0 until ICacheDataBanks).foreach { bank => 373*cf7d6b7aSMuzi masks(way)(bank) := Mux( 374*cf7d6b7aSMuzi lineSel(bank), 375*cf7d6b7aSMuzi waymasks(1)(way) && bankSel(1)(bank).asBool, 376*cf7d6b7aSMuzi waymasks(0)(way) && bankSel(0)(bank).asBool 377*cf7d6b7aSMuzi ) 378b92f8445Sssszwic } 379b92f8445Sssszwic } 380b92f8445Sssszwic 381b92f8445Sssszwic val dataArrays = (0 until nWays).map { way => 382b92f8445Sssszwic (0 until ICacheDataBanks).map { bank => 383b92f8445Sssszwic val sramBank = Module(new SRAMTemplateWithFixedWidth( 3848966a895Sxu_zh UInt(ICacheDataEntryBits.W), 385a61a35e0Sssszwic set = nSets, 386b92f8445Sssszwic width = ICacheDataSRAMWidth, 387a61a35e0Sssszwic shouldReset = true, 388a61a35e0Sssszwic holdRead = true, 389a61a35e0Sssszwic singlePort = true 3901d8f4dcbSJay )) 3911d8f4dcbSJay 392b92f8445Sssszwic // read 393b92f8445Sssszwic sramBank.io.r.req.valid := io.read(bank % 4).valid && masks(way)(bank) 394*cf7d6b7aSMuzi sramBank.io.r.req.bits.apply(setIdx = 395*cf7d6b7aSMuzi Mux(lineSel(bank), io.read(bank % 4).bits.vSetIdx(1), io.read(bank % 4).bits.vSetIdx(0)) 396*cf7d6b7aSMuzi ) 397b92f8445Sssszwic // write 398b92f8445Sssszwic sramBank.io.w.req.valid := io.write.valid && io.write.bits.waymask(way).asBool 399a61a35e0Sssszwic sramBank.io.w.req.bits.apply( 400b92f8445Sssszwic data = writeEntries(bank), 401a61a35e0Sssszwic setIdx = io.write.bits.virIdx, 402b92f8445Sssszwic // waymask is invalid when way of SRAMTemplate <= 1 403b92f8445Sssszwic waymask = 0.U 404a61a35e0Sssszwic ) 405a61a35e0Sssszwic sramBank 406adc7b752SJenius } 407adc7b752SJenius } 408adc7b752SJenius 409a61a35e0Sssszwic /** 410a61a35e0Sssszwic ****************************************************************************** 411a61a35e0Sssszwic * read logic 412a61a35e0Sssszwic ****************************************************************************** 413a61a35e0Sssszwic */ 414b92f8445Sssszwic val masksReg = RegEnable(masks, 0.U.asTypeOf(masks), io.read(0).valid) 415b92f8445Sssszwic val readDataWithCode = (0 until ICacheDataBanks).map(bank => 416*cf7d6b7aSMuzi Mux1H(VecInit(masksReg.map(_(bank))).asTypeOf(UInt(nWays.W)), dataArrays.map(_(bank).io.r.resp.asUInt)) 417*cf7d6b7aSMuzi ) 418b92f8445Sssszwic val readEntries = readDataWithCode.map(_.asTypeOf(new ICacheDataEntry())) 419b92f8445Sssszwic val readDatas = VecInit(readEntries.map(_.data)) 420b92f8445Sssszwic val readCodes = VecInit(readEntries.map(_.code)) 42119d62fa1SJenius 422b92f8445Sssszwic // TEST: force ECC to fail by setting readCodes to 0 423b92f8445Sssszwic if (ICacheForceDataECCError) { 424b92f8445Sssszwic readCodes.foreach(_ := 0.U) 425c157cf71SGuokai Chen } 426c157cf71SGuokai Chen 427a61a35e0Sssszwic /** 428a61a35e0Sssszwic ****************************************************************************** 429a61a35e0Sssszwic * IO 430a61a35e0Sssszwic ****************************************************************************** 431a61a35e0Sssszwic */ 432b92f8445Sssszwic io.readResp.datas := readDatas 433b92f8445Sssszwic io.readResp.codes := readCodes 4341d8f4dcbSJay io.write.ready := true.B 435b92f8445Sssszwic io.read.foreach(_.ready := !io.write.valid) 4361d8f4dcbSJay} 4371d8f4dcbSJay 438b92f8445Sssszwicclass ICacheReplacer(implicit p: Parameters) extends ICacheModule { 439b92f8445Sssszwic val io = IO(new Bundle { 440b92f8445Sssszwic val touch = Vec(PortNumber, Flipped(ValidIO(new ReplacerTouch))) 441b92f8445Sssszwic val victim = Flipped(new ReplacerVictim) 442b92f8445Sssszwic }) 443b92f8445Sssszwic 444b92f8445Sssszwic val replacers = Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets / PortNumber)) 445b92f8445Sssszwic 446b92f8445Sssszwic // touch 447b92f8445Sssszwic val touch_sets = Seq.fill(PortNumber)(Wire(Vec(2, UInt(log2Ceil(nSets / 2).W)))) 448b92f8445Sssszwic val touch_ways = Seq.fill(PortNumber)(Wire(Vec(2, Valid(UInt(log2Ceil(nWays).W))))) 449b92f8445Sssszwic (0 until PortNumber).foreach { i => 450*cf7d6b7aSMuzi touch_sets(i)(0) := Mux( 451*cf7d6b7aSMuzi io.touch(i).bits.vSetIdx(0), 452*cf7d6b7aSMuzi io.touch(1).bits.vSetIdx(highestIdxBit, 1), 453*cf7d6b7aSMuzi io.touch(0).bits.vSetIdx(highestIdxBit, 1) 454*cf7d6b7aSMuzi ) 455b92f8445Sssszwic touch_ways(i)(0).bits := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).bits.way, io.touch(0).bits.way) 456b92f8445Sssszwic touch_ways(i)(0).valid := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).valid, io.touch(0).valid) 457b92f8445Sssszwic } 458b92f8445Sssszwic 459b92f8445Sssszwic // victim 460*cf7d6b7aSMuzi io.victim.way := Mux( 461*cf7d6b7aSMuzi io.victim.vSetIdx.bits(0), 462b92f8445Sssszwic replacers(1).way(io.victim.vSetIdx.bits(highestIdxBit, 1)), 463*cf7d6b7aSMuzi replacers(0).way(io.victim.vSetIdx.bits(highestIdxBit, 1)) 464*cf7d6b7aSMuzi ) 465b92f8445Sssszwic 466b92f8445Sssszwic // touch the victim in next cycle 467*cf7d6b7aSMuzi val victim_vSetIdx_reg = 468*cf7d6b7aSMuzi RegEnable(io.victim.vSetIdx.bits, 0.U.asTypeOf(io.victim.vSetIdx.bits), io.victim.vSetIdx.valid) 469b92f8445Sssszwic val victim_way_reg = RegEnable(io.victim.way, 0.U.asTypeOf(io.victim.way), io.victim.vSetIdx.valid) 470b92f8445Sssszwic (0 until PortNumber).foreach { i => 471b92f8445Sssszwic touch_sets(i)(1) := victim_vSetIdx_reg(highestIdxBit, 1) 472b92f8445Sssszwic touch_ways(i)(1).bits := victim_way_reg 473b92f8445Sssszwic touch_ways(i)(1).valid := RegNext(io.victim.vSetIdx.valid) && (victim_vSetIdx_reg(0) === i.U) 474b92f8445Sssszwic } 475b92f8445Sssszwic 476b92f8445Sssszwic ((replacers zip touch_sets) zip touch_ways).map { case ((r, s), w) => r.access(s, w) } 477b92f8445Sssszwic} 478b92f8445Sssszwic 479*cf7d6b7aSMuziclass ICacheIO(implicit p: Parameters) extends ICacheBundle { 480f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 4812c9f4a9fSxu_zh val ftqPrefetch = Flipped(new FtqToPrefetchIO) 4822c9f4a9fSxu_zh val softPrefetch = Vec(backendParams.LduCnt, Flipped(Valid(new SoftIfetchPrefetchBundle))) 4831d8f4dcbSJay val stop = Input(Bool()) 484c5c5edaeSJenius val fetch = new ICacheMainPipeBundle 48550780602SJenius val toIFU = Output(Bool()) 486b92f8445Sssszwic val pmp = Vec(2 * PortNumber, new ICachePMPBundle) 487b92f8445Sssszwic val itlb = Vec(PortNumber, new TlbRequestIO) 4881d8f4dcbSJay val perfInfo = Output(new ICachePerfInfo) 4890184a80eSYanqin Li val error = ValidIO(new L1CacheErrorInfo) 490ecccf78fSJay /* CSR control signal */ 491ecccf78fSJay val csr_pf_enable = Input(Bool()) 492ecccf78fSJay val csr_parity_enable = Input(Bool()) 4932a6078bfSguohongyu val fencei = Input(Bool()) 494b92f8445Sssszwic val flush = Input(Bool()) 4951d8f4dcbSJay} 4961d8f4dcbSJay 4971d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters { 49895e60e55STang Haojin override def shouldBeInlined: Boolean = false 4991d8f4dcbSJay 5001d8f4dcbSJay val clientParameters = TLMasterPortParameters.v1( 5011d8f4dcbSJay Seq(TLMasterParameters.v1( 5021d8f4dcbSJay name = "icache", 503*cf7d6b7aSMuzi sourceId = IdRange(0, cacheParams.nFetchMshr + cacheParams.nPrefetchMshr + 1) 5041d8f4dcbSJay )), 5051d8f4dcbSJay requestFields = cacheParams.reqFields, 5061d8f4dcbSJay echoFields = cacheParams.echoFields 5071d8f4dcbSJay ) 5081d8f4dcbSJay 5091d8f4dcbSJay val clientNode = TLClientNode(Seq(clientParameters)) 5101d8f4dcbSJay 5111d8f4dcbSJay lazy val module = new ICacheImp(this) 5121d8f4dcbSJay} 5131d8f4dcbSJay 5141ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents { 5151d8f4dcbSJay val io = IO(new ICacheIO) 5161d8f4dcbSJay 5177052722fSJay println("ICache:") 518b92f8445Sssszwic println(" TagECC: " + cacheParams.tagECC) 519b92f8445Sssszwic println(" DataECC: " + cacheParams.dataECC) 5207052722fSJay println(" ICacheSets: " + cacheParams.nSets) 5217052722fSJay println(" ICacheWays: " + cacheParams.nWays) 522b92f8445Sssszwic println(" PortNumber: " + cacheParams.PortNumber) 523b92f8445Sssszwic println(" nFetchMshr: " + cacheParams.nFetchMshr) 524b92f8445Sssszwic println(" nPrefetchMshr: " + cacheParams.nPrefetchMshr) 525b92f8445Sssszwic println(" nWayLookupSize: " + cacheParams.nWayLookupSize) 526b92f8445Sssszwic println(" DataCodeUnit: " + cacheParams.DataCodeUnit) 527b92f8445Sssszwic println(" ICacheDataBanks: " + cacheParams.ICacheDataBanks) 528b92f8445Sssszwic println(" ICacheDataSRAMWidth: " + cacheParams.ICacheDataSRAMWidth) 5297052722fSJay 5301d8f4dcbSJay val (bus, edge) = outer.clientNode.out.head 5311d8f4dcbSJay 5321d8f4dcbSJay val metaArray = Module(new ICacheMetaArray) 5331d8f4dcbSJay val dataArray = Module(new ICacheDataArray) 5342a25dbb4SJay val mainPipe = Module(new ICacheMainPipe) 5351d8f4dcbSJay val missUnit = Module(new ICacheMissUnit(edge)) 536b92f8445Sssszwic val replacer = Module(new ICacheReplacer) 537b92f8445Sssszwic val prefetcher = Module(new IPrefetchPipe) 538b92f8445Sssszwic val wayLookup = Module(new WayLookup) 5391d8f4dcbSJay 540b92f8445Sssszwic dataArray.io.write <> missUnit.io.data_write 541b92f8445Sssszwic dataArray.io.read <> mainPipe.io.dataArray.toIData 542b92f8445Sssszwic dataArray.io.readResp <> mainPipe.io.dataArray.fromIData 543cb6e5d3cSssszwic 544b92f8445Sssszwic metaArray.io.fencei := io.fencei 545b92f8445Sssszwic metaArray.io.write <> missUnit.io.meta_write 546b92f8445Sssszwic metaArray.io.read <> prefetcher.io.metaRead.toIMeta 547b92f8445Sssszwic metaArray.io.readResp <> prefetcher.io.metaRead.fromIMeta 548cb6e5d3cSssszwic 549b92f8445Sssszwic prefetcher.io.flush := io.flush 550b92f8445Sssszwic prefetcher.io.csr_pf_enable := io.csr_pf_enable 551f80535c3Sxu_zh prefetcher.io.csr_parity_enable := io.csr_parity_enable 552b92f8445Sssszwic prefetcher.io.MSHRResp := missUnit.io.fetch_resp 5532c9f4a9fSxu_zh prefetcher.io.flushFromBpu := io.ftqPrefetch.flushFromBpu 5542c9f4a9fSxu_zh // cache softPrefetch 5552c9f4a9fSxu_zh private val softPrefetchValid = RegInit(false.B) 5562c9f4a9fSxu_zh private val softPrefetch = RegInit(0.U.asTypeOf(new IPrefetchReq)) 5572c9f4a9fSxu_zh /* FIXME: 5582c9f4a9fSxu_zh * If there is already a pending softPrefetch request, it will be overwritten. 5592c9f4a9fSxu_zh * Also, if there are multiple softPrefetch requests in the same cycle, only the first one will be accepted. 5602c9f4a9fSxu_zh * We should implement a softPrefetchQueue (like ibuffer, multi-in, single-out) to solve this. 5612c9f4a9fSxu_zh * However, the impact on performance still needs to be assessed. 5622c9f4a9fSxu_zh * Considering that the frequency of prefetch.i may not be high, let's start with a temporary dummy solution. 5632c9f4a9fSxu_zh */ 5642c9f4a9fSxu_zh when(io.softPrefetch.map(_.valid).reduce(_ || _)) { 5652c9f4a9fSxu_zh softPrefetchValid := true.B 5662c9f4a9fSxu_zh softPrefetch.fromSoftPrefetch(MuxCase( 5672c9f4a9fSxu_zh 0.U.asTypeOf(new SoftIfetchPrefetchBundle), 568*cf7d6b7aSMuzi io.softPrefetch.map(req => req.valid -> req.bits) 5692c9f4a9fSxu_zh )) 5702c9f4a9fSxu_zh }.elsewhen(prefetcher.io.req.fire) { 5712c9f4a9fSxu_zh softPrefetchValid := false.B 5722c9f4a9fSxu_zh } 5732c9f4a9fSxu_zh // pass ftqPrefetch 5742c9f4a9fSxu_zh private val ftqPrefetch = WireInit(0.U.asTypeOf(new IPrefetchReq)) 5752c9f4a9fSxu_zh ftqPrefetch.fromFtqICacheInfo(io.ftqPrefetch.req.bits) 5762c9f4a9fSxu_zh // software prefetch has higher priority 5772c9f4a9fSxu_zh prefetcher.io.req.valid := softPrefetchValid || io.ftqPrefetch.req.valid 5782c9f4a9fSxu_zh prefetcher.io.req.bits := Mux(softPrefetchValid, softPrefetch, ftqPrefetch) 5792c9f4a9fSxu_zh io.ftqPrefetch.req.ready := prefetcher.io.req.ready && !softPrefetchValid 580fd16c454SJenius 581b92f8445Sssszwic missUnit.io.hartId := io.hartId 582b92f8445Sssszwic missUnit.io.fencei := io.fencei 583b92f8445Sssszwic missUnit.io.flush := io.flush 584b92f8445Sssszwic missUnit.io.fetch_req <> mainPipe.io.mshr.req 585b92f8445Sssszwic missUnit.io.prefetch_req <> prefetcher.io.MSHRReq 586b92f8445Sssszwic missUnit.io.mem_grant.valid := false.B 587b92f8445Sssszwic missUnit.io.mem_grant.bits := DontCare 588b92f8445Sssszwic missUnit.io.mem_grant <> bus.d 589b92f8445Sssszwic 590b92f8445Sssszwic mainPipe.io.flush := io.flush 591cb6e5d3cSssszwic mainPipe.io.respStall := io.stop 592ecccf78fSJay mainPipe.io.csr_parity_enable := io.csr_parity_enable 593cb6e5d3cSssszwic mainPipe.io.hartId := io.hartId 594b92f8445Sssszwic mainPipe.io.mshr.resp := missUnit.io.fetch_resp 595b92f8445Sssszwic mainPipe.io.fetch.req <> io.fetch.req 596b92f8445Sssszwic mainPipe.io.wayLookupRead <> wayLookup.io.read 597b92f8445Sssszwic 598b92f8445Sssszwic wayLookup.io.flush := io.flush 599b92f8445Sssszwic wayLookup.io.write <> prefetcher.io.wayLookupWrite 600b92f8445Sssszwic wayLookup.io.update := missUnit.io.fetch_resp 601b92f8445Sssszwic 602b92f8445Sssszwic replacer.io.touch <> mainPipe.io.touch 603b92f8445Sssszwic replacer.io.victim <> missUnit.io.victim 6047052722fSJay 60561e1db30SJay io.pmp(0) <> mainPipe.io.pmp(0) 60661e1db30SJay io.pmp(1) <> mainPipe.io.pmp(1) 607b92f8445Sssszwic io.pmp(2) <> prefetcher.io.pmp(0) 608b92f8445Sssszwic io.pmp(3) <> prefetcher.io.pmp(1) 6097052722fSJay 610b92f8445Sssszwic io.itlb(0) <> prefetcher.io.itlb(0) 611b92f8445Sssszwic io.itlb(1) <> prefetcher.io.itlb(1) 6127052722fSJay 613cb6e5d3cSssszwic // notify IFU that Icache pipeline is available 614cb6e5d3cSssszwic io.toIFU := mainPipe.io.fetch.req.ready 615cb6e5d3cSssszwic io.perfInfo := mainPipe.io.perfInfo 6161d8f4dcbSJay 617c5c5edaeSJenius io.fetch.resp <> mainPipe.io.fetch.resp 618d2b20d1aSTang Haojin io.fetch.topdownIcacheMiss := mainPipe.io.fetch.topdownIcacheMiss 619d2b20d1aSTang Haojin io.fetch.topdownItlbMiss := mainPipe.io.fetch.topdownItlbMiss 620c5c5edaeSJenius 6211d8f4dcbSJay bus.b.ready := false.B 6221d8f4dcbSJay bus.c.valid := false.B 6231d8f4dcbSJay bus.c.bits := DontCare 6241d8f4dcbSJay bus.e.valid := false.B 6251d8f4dcbSJay bus.e.bits := DontCare 6261d8f4dcbSJay 6271d8f4dcbSJay bus.a <> missUnit.io.mem_acquire 6281d8f4dcbSJay 62958dbdfc2SJay // Parity error port 6304da04e5bSguohongyu val errors = mainPipe.io.errors 631b92f8445Sssszwic val errors_valid = errors.map(e => e.valid).reduce(_ | _) 632b92f8445Sssszwic io.error.bits <> RegEnable(Mux1H(errors.map(e => e.valid -> e.bits)), 0.U.asTypeOf(errors(0).bits), errors_valid) 633b92f8445Sssszwic io.error.valid := RegNext(errors_valid, false.B) 6342a6078bfSguohongyu 635*cf7d6b7aSMuzi XSPerfAccumulate( 636*cf7d6b7aSMuzi "softPrefetch_drop_not_ready", 637*cf7d6b7aSMuzi io.softPrefetch.map(_.valid).reduce(_ || _) && softPrefetchValid && !prefetcher.io.req.fire 638*cf7d6b7aSMuzi ) 6392c9f4a9fSxu_zh XSPerfAccumulate("softPrefetch_drop_multi_req", PopCount(io.softPrefetch.map(_.valid)) > 1.U) 6402c9f4a9fSxu_zh XSPerfAccumulate("softPrefetch_block_ftq", softPrefetchValid && io.ftqPrefetch.req.valid) 6412c9f4a9fSxu_zh 6421d8f4dcbSJay val perfEvents = Seq( 6431d8f4dcbSJay ("icache_miss_cnt ", false.B), 644*cf7d6b7aSMuzi ("icache_miss_penalty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)) 6451d8f4dcbSJay ) 6461ca0e4f3SYinan Xu generatePerfEvent() 647adc7b752SJenius} 648adc7b752SJenius 649adc7b752SJeniusclass ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) 650*cf7d6b7aSMuzi extends ICacheBundle { 651*cf7d6b7aSMuzi val req = Flipped(Vec( 652*cf7d6b7aSMuzi PortNumber, 653*cf7d6b7aSMuzi Decoupled(new Bundle { 654adc7b752SJenius val ridx = UInt((log2Ceil(nSets) - 1).W) 655*cf7d6b7aSMuzi }) 656*cf7d6b7aSMuzi )) 657adc7b752SJenius val resp = Output(new Bundle { 658adc7b752SJenius val rdata = Vec(PortNumber, Vec(pWay, gen)) 659adc7b752SJenius }) 660adc7b752SJenius} 661adc7b752SJenius 662adc7b752SJeniusclass ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) 663*cf7d6b7aSMuzi extends ICacheBundle { 664adc7b752SJenius val wdata = gen 665adc7b752SJenius val widx = UInt((log2Ceil(nSets) - 1).W) 666adc7b752SJenius val wbankidx = Bool() 667adc7b752SJenius val wmask = Vec(pWay, Bool()) 668adc7b752SJenius} 669adc7b752SJenius 670*cf7d6b7aSMuziclass ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray { 671adc7b752SJenius 672adc7b752SJenius // including part way data 673*cf7d6b7aSMuzi val io = IO { 674*cf7d6b7aSMuzi new Bundle { 675adc7b752SJenius val read = new ICachePartWayReadBundle(gen, pWay) 676adc7b752SJenius val write = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay))) 677*cf7d6b7aSMuzi } 678*cf7d6b7aSMuzi } 679adc7b752SJenius 68036638515SEaston Man io.read.req.map(_.ready := !io.write.valid) 681adc7b752SJenius 682adc7b752SJenius val srams = (0 until PortNumber) map { bank => 683adc7b752SJenius val sramBank = Module(new SRAMTemplate( 68436638515SEaston Man gen, 685adc7b752SJenius set = nSets / 2, 686adc7b752SJenius way = pWay, 687adc7b752SJenius shouldReset = true, 688adc7b752SJenius holdRead = true, 689adc7b752SJenius singlePort = true 690adc7b752SJenius )) 69136638515SEaston Man 692adc7b752SJenius sramBank.io.r.req.valid := io.read.req(bank).valid 693adc7b752SJenius sramBank.io.r.req.bits.apply(setIdx = io.read.req(bank).bits.ridx) 69436638515SEaston Man 69536638515SEaston Man if (bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx 69636638515SEaston Man else sramBank.io.w.req.valid := io.write.valid && io.write.bits.wbankidx 697*cf7d6b7aSMuzi sramBank.io.w.req.bits.apply( 698*cf7d6b7aSMuzi data = io.write.bits.wdata, 699*cf7d6b7aSMuzi setIdx = io.write.bits.widx, 700*cf7d6b7aSMuzi waymask = io.write.bits.wmask.asUInt 701*cf7d6b7aSMuzi ) 70236638515SEaston Man 703adc7b752SJenius sramBank 704adc7b752SJenius } 705adc7b752SJenius 70636638515SEaston Man io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_ && _)) 707adc7b752SJenius 70836638515SEaston Man io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay, gen)))) 70936638515SEaston Man 7101d8f4dcbSJay} 711b92f8445Sssszwic 712b92f8445Sssszwic// Automatically partition the SRAM based on the width of the data and the desired width. 713b92f8445Sssszwic// final SRAM width = width * way 714*cf7d6b7aSMuziclass SRAMTemplateWithFixedWidth[T <: Data]( 715*cf7d6b7aSMuzi gen: T, 716*cf7d6b7aSMuzi set: Int, 717*cf7d6b7aSMuzi width: Int, 718*cf7d6b7aSMuzi way: Int = 1, 719*cf7d6b7aSMuzi shouldReset: Boolean = false, 720*cf7d6b7aSMuzi holdRead: Boolean = false, 721*cf7d6b7aSMuzi singlePort: Boolean = false, 722*cf7d6b7aSMuzi bypassWrite: Boolean = false 723b92f8445Sssszwic) extends Module { 724b92f8445Sssszwic 725b92f8445Sssszwic val dataBits = gen.getWidth 726b92f8445Sssszwic val bankNum = math.ceil(dataBits.toDouble / width.toDouble).toInt 727b92f8445Sssszwic val totalBits = bankNum * width 728b92f8445Sssszwic 729b92f8445Sssszwic val io = IO(new Bundle { 730b92f8445Sssszwic val r = Flipped(new SRAMReadBus(gen, set, way)) 731b92f8445Sssszwic val w = Flipped(new SRAMWriteBus(gen, set, way)) 732b92f8445Sssszwic }) 733b92f8445Sssszwic 734b92f8445Sssszwic val wordType = UInt(width.W) 735b92f8445Sssszwic val writeDatas = (0 until bankNum).map(bank => 736b92f8445Sssszwic VecInit((0 until way).map(i => 737b92f8445Sssszwic io.w.req.bits.data(i).asTypeOf(UInt(totalBits.W)).asTypeOf(Vec(bankNum, wordType))(bank) 738b92f8445Sssszwic )) 739b92f8445Sssszwic ) 740b92f8445Sssszwic 741b92f8445Sssszwic val srams = (0 until bankNum) map { bank => 742b92f8445Sssszwic val sramBank = Module(new SRAMTemplate( 743b92f8445Sssszwic wordType, 744b92f8445Sssszwic set = set, 745b92f8445Sssszwic way = way, 746b92f8445Sssszwic shouldReset = shouldReset, 747b92f8445Sssszwic holdRead = holdRead, 748b92f8445Sssszwic singlePort = singlePort, 749*cf7d6b7aSMuzi bypassWrite = bypassWrite 750b92f8445Sssszwic )) 751b92f8445Sssszwic // read req 752b92f8445Sssszwic sramBank.io.r.req.valid := io.r.req.valid 753b92f8445Sssszwic sramBank.io.r.req.bits.setIdx := io.r.req.bits.setIdx 754b92f8445Sssszwic 755b92f8445Sssszwic // write req 756b92f8445Sssszwic sramBank.io.w.req.valid := io.w.req.valid 757b92f8445Sssszwic sramBank.io.w.req.bits.setIdx := io.w.req.bits.setIdx 758b92f8445Sssszwic sramBank.io.w.req.bits.data := writeDatas(bank) 759b92f8445Sssszwic sramBank.io.w.req.bits.waymask.map(_ := io.w.req.bits.waymask.get) 760b92f8445Sssszwic 761b92f8445Sssszwic sramBank 762b92f8445Sssszwic } 763b92f8445Sssszwic 764b92f8445Sssszwic io.r.req.ready := !io.w.req.valid 765b92f8445Sssszwic (0 until way).foreach { i => 766b92f8445Sssszwic io.r.resp.data(i) := VecInit((0 until bankNum).map(bank => 767b92f8445Sssszwic srams(bank).io.r.resp.data(i) 768b92f8445Sssszwic )).asTypeOf(UInt(totalBits.W))(dataBits - 1, 0).asTypeOf(gen.cloneType) 769b92f8445Sssszwic } 770b92f8445Sssszwic 771b92f8445Sssszwic io.r.req.ready := srams.head.io.r.req.ready 772b92f8445Sssszwic io.w.req.ready := srams.head.io.w.req.ready 773b92f8445Sssszwic} 774