xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICache.scala (revision adc7b7520f72c6da0ec28f5e3ff92b0dfa3b8561)
11d8f4dcbSJay/***************************************************************************************
21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory
41d8f4dcbSJay*
51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2.
61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2.
71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at:
81d8f4dcbSJay*          http://license.coscl.org.cn/MulanPSL2
91d8f4dcbSJay*
101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
131d8f4dcbSJay*
141d8f4dcbSJay* See the Mulan PSL v2 for more details.
151d8f4dcbSJay***************************************************************************************/
161d8f4dcbSJay
171d8f4dcbSJaypackage  xiangshan.frontend.icache
181d8f4dcbSJay
191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters
201d8f4dcbSJayimport chisel3._
21*adc7b752SJeniusimport chisel3.util.{DecoupledIO, _}
221d8f4dcbSJayimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes}
231d8f4dcbSJayimport freechips.rocketchip.tilelink._
241d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase
257052722fSJayimport huancun.{AliasField, DirtyField, PreferCacheField, PrefetchField}
261d8f4dcbSJayimport xiangshan._
271d8f4dcbSJayimport xiangshan.frontend._
281d8f4dcbSJayimport xiangshan.cache._
29*adc7b752SJeniusimport utils.{SRAMTemplate, _}
307052722fSJayimport xiangshan.backend.fu.PMPReqBundle
31f1fe8698SLemoverimport xiangshan.cache.mmu.{TlbRequestIO, TlbReq}
321d8f4dcbSJay
331d8f4dcbSJaycase class ICacheParameters(
341d8f4dcbSJay    nSets: Int = 256,
351d8f4dcbSJay    nWays: Int = 8,
361d8f4dcbSJay    rowBits: Int = 64,
371d8f4dcbSJay    nTLBEntries: Int = 32,
381d8f4dcbSJay    tagECC: Option[String] = None,
391d8f4dcbSJay    dataECC: Option[String] = None,
401d8f4dcbSJay    replacer: Option[String] = Some("random"),
411d8f4dcbSJay    nMissEntries: Int = 2,
4200240ba6SJay    nReleaseEntries: Int = 1,
431d8f4dcbSJay    nProbeEntries: Int = 2,
447052722fSJay    nPrefetchEntries: Int = 4,
457052722fSJay    hasPrefetch: Boolean = false,
461d8f4dcbSJay    nMMIOs: Int = 1,
471d8f4dcbSJay    blockBytes: Int = 64
481d8f4dcbSJay)extends L1CacheParameters {
491d8f4dcbSJay
501d8f4dcbSJay  val setBytes = nSets * blockBytes
511d8f4dcbSJay  val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None
521d8f4dcbSJay  val reqFields: Seq[BundleFieldBase] = Seq(
531d8f4dcbSJay    PrefetchField(),
541d8f4dcbSJay    PreferCacheField()
551d8f4dcbSJay  ) ++ aliasBitsOpt.map(AliasField)
561d8f4dcbSJay  val echoFields: Seq[BundleFieldBase] = Seq(DirtyField())
571d8f4dcbSJay  def tagCode: Code = Code.fromString(tagECC)
581d8f4dcbSJay  def dataCode: Code = Code.fromString(dataECC)
591d8f4dcbSJay  def replacement = ReplacementPolicy.fromString(replacer,nWays,nSets)
601d8f4dcbSJay}
611d8f4dcbSJay
621d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst{
631d8f4dcbSJay  val cacheParams = icacheParameters
6442cfa32cSJinYue  val dataCodeUnit = 16
65b37bce8eSJinYue  val dataCodeUnitNum  = blockBits/dataCodeUnit
661d8f4dcbSJay
671d8f4dcbSJay  def highestIdxBit = log2Ceil(nSets) - 1
68b37bce8eSJinYue  def encDataUnitBits   = cacheParams.dataCode.width(dataCodeUnit)
69b37bce8eSJinYue  def dataCodeBits      = encDataUnitBits - dataCodeUnit
70b37bce8eSJinYue  def dataCodeEntryBits = dataCodeBits * dataCodeUnitNum
711d8f4dcbSJay
721d8f4dcbSJay  val ICacheSets = cacheParams.nSets
731d8f4dcbSJay  val ICacheWays = cacheParams.nWays
741d8f4dcbSJay
751d8f4dcbSJay  val ICacheSameVPAddrLength = 12
762a25dbb4SJay  val ReplaceIdWid = 5
771d8f4dcbSJay
781d8f4dcbSJay  val ICacheWordOffset = 0
791d8f4dcbSJay  val ICacheSetOffset = ICacheWordOffset + log2Up(blockBytes)
801d8f4dcbSJay  val ICacheAboveIndexOffset = ICacheSetOffset + log2Up(ICacheSets)
811d8f4dcbSJay  val ICacheTagOffset = ICacheAboveIndexOffset min ICacheSameVPAddrLength
821d8f4dcbSJay
832a25dbb4SJay  def ReplacePipeKey = 0
847052722fSJay  def MainPipeKey = 1
851d8f4dcbSJay  def PortNumber = 2
867052722fSJay  def ProbeKey   = 3
871d8f4dcbSJay
88*adc7b752SJenius  def partWayNum = 4
89*adc7b752SJenius  def pWay = nWays/partWayNum
90*adc7b752SJenius
917052722fSJay  def nPrefetchEntries = cacheParams.nPrefetchEntries
921d8f4dcbSJay
93*adc7b752SJenius  def getBits(num: Int) = log2Ceil(num).W
94*adc7b752SJenius
95*adc7b752SJenius
962a25dbb4SJay  def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = {
972a25dbb4SJay    val valid  = RegInit(false.B)
982a25dbb4SJay    when(thisFlush)                    {valid  := false.B}
992a25dbb4SJay      .elsewhen(lastFire && !lastFlush)  {valid  := true.B}
1002a25dbb4SJay      .elsewhen(thisFire)                 {valid  := false.B}
1012a25dbb4SJay    valid
1022a25dbb4SJay  }
1032a25dbb4SJay
1042a25dbb4SJay  def ResultHoldBypass[T<:Data](data: T, valid: Bool): T = {
1052a25dbb4SJay    Mux(valid, data, RegEnable(data, valid))
1062a25dbb4SJay  }
1072a25dbb4SJay
1081d8f4dcbSJay  require(isPow2(nSets), s"nSets($nSets) must be pow2")
1091d8f4dcbSJay  require(isPow2(nWays), s"nWays($nWays) must be pow2")
1101d8f4dcbSJay}
1111d8f4dcbSJay
1121d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle
1131d8f4dcbSJay  with HasICacheParameters
1141d8f4dcbSJay
1151d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule
1161d8f4dcbSJay  with HasICacheParameters
1171d8f4dcbSJay
1181d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule
1191d8f4dcbSJay  with HasICacheParameters
1201d8f4dcbSJay
1211d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle {
1221d8f4dcbSJay  val coh = new ClientMetadata
1231d8f4dcbSJay  val tag = UInt(tagBits.W)
1241d8f4dcbSJay}
1251d8f4dcbSJay
1261d8f4dcbSJayobject ICacheMetadata {
1271d8f4dcbSJay  def apply(tag: Bits, coh: ClientMetadata)(implicit p: Parameters) = {
1281d8f4dcbSJay    val meta = Wire(new L1Metadata)
1291d8f4dcbSJay    meta.tag := tag
1301d8f4dcbSJay    meta.coh := coh
1311d8f4dcbSJay    meta
1321d8f4dcbSJay  }
1331d8f4dcbSJay}
1341d8f4dcbSJay
1351d8f4dcbSJay
1361d8f4dcbSJayclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray
1371d8f4dcbSJay{
1381d8f4dcbSJay  def onReset = ICacheMetadata(0.U, ClientMetadata.onReset)
1391d8f4dcbSJay  val metaBits = onReset.getWidth
1401d8f4dcbSJay  val metaEntryBits = cacheParams.tagCode.width(metaBits)
1411d8f4dcbSJay
142*adc7b752SJenius
1431d8f4dcbSJay  val io=IO{new Bundle{
1441d8f4dcbSJay    val write    = Flipped(DecoupledIO(new ICacheMetaWriteBundle))
145*adc7b752SJenius    val read     = Flipped(DecoupledIO(Vec(partWayNum, new ICacheReadBundle)))
1461d8f4dcbSJay    val readResp = Output(new ICacheMetaRespBundle)
147026615fcSWilliam Wang    val cacheOp  = Flipped(new L1CacheInnerOpIO) // customized cache op port
1481d8f4dcbSJay  }}
1491d8f4dcbSJay
1501d8f4dcbSJay
1511d8f4dcbSJay  val write_meta_bits = Wire(UInt(metaEntryBits.W))
1521d8f4dcbSJay
153*adc7b752SJenius  val port_0_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_0, enable = io.read.fire())
154*adc7b752SJenius  val port_0_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_1, enable = io.read.fire())
155*adc7b752SJenius  val port_1_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_1, enable = io.read.fire())
156*adc7b752SJenius  val port_1_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_0, enable = io.read.fire())
157*adc7b752SJenius
158*adc7b752SJenius  val bank_0_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_0, copy.vSetIdx(0), copy.vSetIdx(1)))
159*adc7b752SJenius  val bank_1_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_1, copy.vSetIdx(0), copy.vSetIdx(1)))
160*adc7b752SJenius
161*adc7b752SJenius  val tagArrays = (0 until partWayNum).map{i =>
162*adc7b752SJenius    val tagArray = Module(new ICachePartWayArray(
1631d8f4dcbSJay      UInt(metaEntryBits.W),
164*adc7b752SJenius      pWay
1651d8f4dcbSJay    ))
1661d8f4dcbSJay
167*adc7b752SJenius    tagArray.io.read.req(0).valid := io.read.bits(i).read_bank_0 && io.read.valid
168*adc7b752SJenius    tagArray.io.read.req(0).bits.ridx := bank_0_idx_vec(i)(highestIdxBit,1)
169*adc7b752SJenius    tagArray.io.read.req(1).valid := io.read.bits(i).read_bank_1 && io.read.valid
170*adc7b752SJenius    tagArray.io.read.req(1).bits.ridx := bank_1_idx_vec(i)(highestIdxBit,1)
1711d8f4dcbSJay
172*adc7b752SJenius
173*adc7b752SJenius    tagArray.io.write.valid         := io.write.valid
174*adc7b752SJenius    tagArray.io.write.bits.wdata    := write_meta_bits
175*adc7b752SJenius    tagArray.io.write.bits.widx     := io.write.bits.virIdx(highestIdxBit,1)
176*adc7b752SJenius    tagArray.io.write.bits.wbankidx := io.write.bits.bankIdx
177*adc7b752SJenius    tagArray.io.write.bits.wmask    := io.write.bits.waymask.asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
1781d8f4dcbSJay    tagArray
1791d8f4dcbSJay  }
180b37bce8eSJinYue
181*adc7b752SJenius  //read output
1821d8f4dcbSJay  val read_metas = Wire(Vec(2,Vec(nWays,new ICacheMetadata())))
183*adc7b752SJenius  (0 until PortNumber).map { port =>
184*adc7b752SJenius    (0 until nWays).map { w =>
185*adc7b752SJenius      val read_meta_bits = tagArrays(w / pWay).io.read.resp.rdata(port).asTypeOf(Vec(pWay, UInt(metaEntryBits.W)))
1861d8f4dcbSJay      val read_meta_decoded = read_meta_bits.map{ way_bits => cacheParams.tagCode.decode(way_bits)}
1871d8f4dcbSJay      val read_meta_wrong = read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.error}
1881d8f4dcbSJay      val read_meta_corrected = VecInit(read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.corrected})
189*adc7b752SJenius      read_metas(port)(w) := read_meta_corrected(w % pWay).asTypeOf(new ICacheMetadata())
190*adc7b752SJenius      (0 until nWays).map{ w => io.readResp.errors(port)(w) := RegNext(read_meta_wrong(w % pWay)) && RegNext(RegNext(io.read.fire))}
1911d8f4dcbSJay    }
192*adc7b752SJenius  }
1931d8f4dcbSJay
1941d8f4dcbSJay  io.readResp.metaData <> DontCare
1951d8f4dcbSJay  when(port_0_read_0_reg){
1961d8f4dcbSJay    io.readResp.metaData(0) := read_metas(0)
1971d8f4dcbSJay  }.elsewhen(port_0_read_1_reg){
1981d8f4dcbSJay    io.readResp.metaData(0) := read_metas(1)
1991d8f4dcbSJay  }
2001d8f4dcbSJay
2011d8f4dcbSJay  when(port_1_read_0_reg){
2021d8f4dcbSJay    io.readResp.metaData(1) := read_metas(0)
2031d8f4dcbSJay  }.elsewhen(port_1_read_1_reg){
2041d8f4dcbSJay    io.readResp.metaData(1) := read_metas(1)
2051d8f4dcbSJay  }
2061d8f4dcbSJay
207*adc7b752SJenius  io.read.ready := !io.write.valid && tagArrays.map(_.io.read.req.map(_.ready).reduce(_&&_)).reduce(_&&_)
2081d8f4dcbSJay  io.write.ready := true.B
209*adc7b752SJenius
210*adc7b752SJenius  //Parity Encode
211*adc7b752SJenius  val write = io.write.bits
212*adc7b752SJenius  write_meta_bits := cacheParams.tagCode.encode(ICacheMetadata(tag = write.phyTag, coh = write.coh).asUInt)
213*adc7b752SJenius
214*adc7b752SJenius  val wayNum   = OHToUInt(io.write.bits.waymask)
2151d8f4dcbSJay  // deal with customized cache op
2161d8f4dcbSJay  require(nWays <= 32)
2171d8f4dcbSJay  io.cacheOp.resp.bits := DontCare
2181d8f4dcbSJay  val cacheOpShouldResp = WireInit(false.B)
2191d8f4dcbSJay  when(io.cacheOp.req.valid){
2201d8f4dcbSJay    when(
2211d8f4dcbSJay      CacheInstrucion.isReadTag(io.cacheOp.req.bits.opCode) ||
2221d8f4dcbSJay      CacheInstrucion.isReadTagECC(io.cacheOp.req.bits.opCode)
2231d8f4dcbSJay    ){
2241d8f4dcbSJay      for (i <- 0 until 2) {
225*adc7b752SJenius        tagArrays(i).io.read.req.map{ port =>
226*adc7b752SJenius          port.valid     := !io.cacheOp.req.bits.index(0)
227*adc7b752SJenius          port.bits.ridx := io.cacheOp.req.bits.index(highestIdxBit,1)
228*adc7b752SJenius        }
2291d8f4dcbSJay      }
2301d8f4dcbSJay      cacheOpShouldResp := true.B
2311d8f4dcbSJay    }
232*adc7b752SJenius    when(
233*adc7b752SJenius      CacheInstrucion.isWriteTag(io.cacheOp.req.bits.opCode) ||
234*adc7b752SJenius      CacheInstrucion.isWriteTagECC(io.cacheOp.req.bits.opCode)
235*adc7b752SJenius    ){
2361d8f4dcbSJay      for (i <- 0 until 2) {
237*adc7b752SJenius        tagArrays(i).io.write.valid := true.B
238*adc7b752SJenius        tagArrays(i).io.write.bits.wdata := io.cacheOp.req.bits.write_tag_low
239*adc7b752SJenius        tagArrays(i).io.write.bits.wbankidx := io.cacheOp.req.bits.index(0)
240*adc7b752SJenius        tagArrays(i).io.write.bits.widx := io.cacheOp.req.bits.index(highestIdxBit,1)
241*adc7b752SJenius        tagArrays(i).io.write.bits.wmask  := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)).asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
2421d8f4dcbSJay      }
2431d8f4dcbSJay      cacheOpShouldResp := true.B
2441d8f4dcbSJay    }
2451d8f4dcbSJay  }
246*adc7b752SJenius  io.cacheOp.resp.valid := RegNext(tagArrays.head.io.read.req.map(_.fire()).reduce(_||_) && cacheOpShouldResp)
247*adc7b752SJenius  io.cacheOp.resp.bits.read_tag_low := Mux( RegNext(io.cacheOp.req.bits.index(0)),
248*adc7b752SJenius    read_metas(1).asUInt(),
249*adc7b752SJenius    read_metas(0).asUInt()
2501d8f4dcbSJay  )
251*adc7b752SJenius  io.cacheOp.resp.bits.read_tag_ecc := Mux( RegNext(io.cacheOp.req.bits.index(0)),
252*adc7b752SJenius    io.readResp.errors(1).asUInt(),
253*adc7b752SJenius    io.readResp.errors(0).asUInt()
254*adc7b752SJenius  )
2551d8f4dcbSJay}
2561d8f4dcbSJay
2571d8f4dcbSJay
2581d8f4dcbSJayclass ICacheDataArray(implicit p: Parameters) extends ICacheArray
2591d8f4dcbSJay{
260b37bce8eSJinYue
261b37bce8eSJinYue  def getECCFromEncUnit(encUnit: UInt) = {
262b37bce8eSJinYue    require(encUnit.getWidth == encDataUnitBits)
263e5f1252bSGuokai Chen    if (encDataUnitBits == dataCodeUnit) {
264e5f1252bSGuokai Chen      0.U.asTypeOf(UInt(1.W))
265e5f1252bSGuokai Chen    } else {
266b37bce8eSJinYue      encUnit(encDataUnitBits - 1, dataCodeUnit)
267b37bce8eSJinYue    }
268e5f1252bSGuokai Chen  }
269b37bce8eSJinYue
270b37bce8eSJinYue  def getECCFromBlock(cacheblock: UInt) = {
271b37bce8eSJinYue    // require(cacheblock.getWidth == blockBits)
272b37bce8eSJinYue    VecInit((0 until dataCodeUnitNum).map { w =>
273b37bce8eSJinYue      val unit = cacheblock(dataCodeUnit * (w + 1) - 1, dataCodeUnit * w)
274b37bce8eSJinYue      getECCFromEncUnit(cacheParams.dataCode.encode(unit))
275b37bce8eSJinYue    })
276b37bce8eSJinYue  }
277b37bce8eSJinYue
2781d8f4dcbSJay  val io=IO{new Bundle{
2791d8f4dcbSJay    val write    = Flipped(DecoupledIO(new ICacheDataWriteBundle))
280*adc7b752SJenius    val read     = Flipped(DecoupledIO(Vec(partWayNum, new ICacheReadBundle)))
2811d8f4dcbSJay    val readResp = Output(new ICacheDataRespBundle)
282026615fcSWilliam Wang    val cacheOp  = Flipped(new L1CacheInnerOpIO) // customized cache op port
2831d8f4dcbSJay  }}
2841d8f4dcbSJay
285b37bce8eSJinYue  val write_data_bits = Wire(UInt(blockBits.W))
2861d8f4dcbSJay
287*adc7b752SJenius  val port_0_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_0, enable = io.read.fire())
288*adc7b752SJenius  val port_0_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_1, enable = io.read.fire())
289*adc7b752SJenius  val port_1_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_1, enable = io.read.fire())
290*adc7b752SJenius  val port_1_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_0, enable = io.read.fire())
291*adc7b752SJenius
292*adc7b752SJenius  val bank_0_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_0, copy.vSetIdx(0), copy.vSetIdx(1)))
293*adc7b752SJenius  val bank_1_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_1, copy.vSetIdx(0), copy.vSetIdx(1)))
294*adc7b752SJenius
295*adc7b752SJenius  val dataArrays = (0 until partWayNum).map{ i =>
296*adc7b752SJenius    val dataArray = Module(new ICachePartWayArray(
297b37bce8eSJinYue      UInt(blockBits.W),
298*adc7b752SJenius      pWay,
2991d8f4dcbSJay    ))
3001d8f4dcbSJay
301*adc7b752SJenius    dataArray.io.read.req(0).valid :=  io.read.bits(i).read_bank_0 && io.read.valid
302*adc7b752SJenius    dataArray.io.read.req(0).bits.ridx := bank_0_idx_vec(i)(highestIdxBit,1)
303*adc7b752SJenius    dataArray.io.read.req(1).valid := io.read.bits(i).read_bank_1 && io.read.valid
304*adc7b752SJenius    dataArray.io.read.req(1).bits.ridx := bank_1_idx_vec(i)(highestIdxBit,1)
305*adc7b752SJenius
306*adc7b752SJenius
307*adc7b752SJenius    dataArray.io.write.valid         := io.write.valid
308*adc7b752SJenius    dataArray.io.write.bits.wdata    := write_data_bits
309*adc7b752SJenius    dataArray.io.write.bits.widx     := io.write.bits.virIdx(highestIdxBit,1)
310*adc7b752SJenius    dataArray.io.write.bits.wbankidx := io.write.bits.bankIdx
311*adc7b752SJenius    dataArray.io.write.bits.wmask    := io.write.bits.waymask.asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
3121d8f4dcbSJay
3131d8f4dcbSJay    dataArray
3141d8f4dcbSJay  }
3151d8f4dcbSJay
316*adc7b752SJenius  val read_datas = Wire(Vec(2,Vec(nWays,UInt(blockBits.W) )))
317*adc7b752SJenius
318*adc7b752SJenius  (0 until PortNumber).map { port =>
319*adc7b752SJenius    (0 until nWays).map { w =>
320*adc7b752SJenius      read_datas(port)(w) := dataArrays(w / pWay).io.read.resp.rdata(port).asTypeOf(Vec(pWay, UInt(blockBits.W)))(w % pWay)
321*adc7b752SJenius    }
322*adc7b752SJenius  }
323*adc7b752SJenius
324*adc7b752SJenius  io.readResp.datas(0) := Mux( port_0_read_1_reg, read_datas(1) , read_datas(0))
325*adc7b752SJenius  io.readResp.datas(1) := Mux( port_1_read_0_reg, read_datas(0) , read_datas(1))
326*adc7b752SJenius
327*adc7b752SJenius
328*adc7b752SJenius  val write_data_code = Wire(UInt(dataCodeEntryBits.W))
329*adc7b752SJenius
330*adc7b752SJenius  val codeArrays = (0 until partWayNum) map { i =>
331*adc7b752SJenius    val codeArray = Module(new ICachePartWayArray(
332b37bce8eSJinYue      UInt(dataCodeEntryBits.W),
333*adc7b752SJenius      pWay,
334b37bce8eSJinYue    ))
335b37bce8eSJinYue
336*adc7b752SJenius    codeArray.io.read.req(0).valid := io.read.bits(i).read_bank_0 && io.read.valid
337*adc7b752SJenius    codeArray.io.read.req(0).bits.ridx := bank_0_idx_vec(i)(highestIdxBit,1)
338*adc7b752SJenius    codeArray.io.read.req(1).valid := io.read.bits(i).read_bank_1 && io.read.valid
339*adc7b752SJenius    codeArray.io.read.req(1).bits.ridx := bank_1_idx_vec(i)(highestIdxBit,1)
340*adc7b752SJenius
341*adc7b752SJenius    codeArray.io.write.valid         := io.write.valid
342*adc7b752SJenius    codeArray.io.write.bits.wdata    := write_data_code
343*adc7b752SJenius    codeArray.io.write.bits.widx     := io.write.bits.virIdx(highestIdxBit,1)
344*adc7b752SJenius    codeArray.io.write.bits.wbankidx := io.write.bits.bankIdx
345*adc7b752SJenius    codeArray.io.write.bits.wmask    := io.write.bits.waymask.asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
346b37bce8eSJinYue
347b37bce8eSJinYue    codeArray
348b37bce8eSJinYue  }
349*adc7b752SJenius  io.read.ready := !io.write.valid &&
350*adc7b752SJenius                    dataArrays.map(_.io.read.req.map(_.ready).reduce(_&&_)).reduce(_&&_) &&
351*adc7b752SJenius                    codeArrays.map(_.io.read.req.map(_.ready).reduce(_&&_)).reduce(_&&_)
35219d62fa1SJenius
3531d8f4dcbSJay  //Parity Decode
354b37bce8eSJinYue  val read_codes = Wire(Vec(2,Vec(nWays,UInt(dataCodeEntryBits.W) )))
355*adc7b752SJenius  (0 until PortNumber).map { port =>
356*adc7b752SJenius    (0 until nWays).map { w =>
357*adc7b752SJenius      read_codes(port)(w) := codeArrays(w / pWay).io.read.resp.rdata(port).asTypeOf(Vec(pWay, UInt(dataCodeEntryBits.W)))(w % pWay)
3581d8f4dcbSJay    }
359*adc7b752SJenius  }
36079b191f7SJay
3611d8f4dcbSJay  //Parity Encode
3621d8f4dcbSJay  val write = io.write.bits
363b37bce8eSJinYue  val write_data = WireInit(write.data)
364b37bce8eSJinYue  write_data_code := getECCFromBlock(write_data).asUInt
365b37bce8eSJinYue  write_data_bits := write_data
3661d8f4dcbSJay
36779b191f7SJay  io.readResp.codes(0) := Mux( port_0_read_1_reg, read_codes(1) , read_codes(0))
36879b191f7SJay  io.readResp.codes(1) := Mux( port_1_read_0_reg, read_codes(0) , read_codes(1))
3691d8f4dcbSJay
3701d8f4dcbSJay  io.write.ready := true.B
3711d8f4dcbSJay
3721d8f4dcbSJay  // deal with customized cache op
3731d8f4dcbSJay  require(nWays <= 32)
3741d8f4dcbSJay  io.cacheOp.resp.bits := DontCare
375*adc7b752SJenius  io.cacheOp.resp.valid := false.B
3761d8f4dcbSJay  val cacheOpShouldResp = WireInit(false.B)
3771d8f4dcbSJay  when(io.cacheOp.req.valid){
3781d8f4dcbSJay    when(
379*adc7b752SJenius      CacheInstrucion.isReadData(io.cacheOp.req.bits.opCode)
3801d8f4dcbSJay    ){
381*adc7b752SJenius      for (i <- 0 until 2) {
382*adc7b752SJenius        dataArrays(i).io.read.req.map{ port =>
383*adc7b752SJenius          port.valid     := !io.cacheOp.req.bits.index(0)
384*adc7b752SJenius          port.bits.ridx := io.cacheOp.req.bits.index(highestIdxBit,1)
385*adc7b752SJenius        }
386*adc7b752SJenius      }
3871d8f4dcbSJay      cacheOpShouldResp := true.B
388*adc7b752SJenius      io.cacheOp.resp.valid := RegNext(dataArrays.head.io.read.req.map(_.fire()).reduce(_||_) && cacheOpShouldResp)
389*adc7b752SJenius
3901d8f4dcbSJay      val dataresp = Mux(io.cacheOp.req.bits.bank_num(0).asBool,
39170899835SWilliam Wang        read_datas(1),
39270899835SWilliam Wang        read_datas(0)
3931d8f4dcbSJay      )
3941d8f4dcbSJay
3951d8f4dcbSJay      val numICacheLineWords = blockBits / 64
3961d8f4dcbSJay      require(blockBits >= 64 && isPow2(blockBits))
3971d8f4dcbSJay      for (wordIndex <- 0 until numICacheLineWords) {
3981d8f4dcbSJay        io.cacheOp.resp.bits.read_data_vec(wordIndex) := dataresp(io.cacheOp.req.bits.wayNum(4, 0))(64*(wordIndex+1)-1, 64*wordIndex)
3991d8f4dcbSJay      }
400*adc7b752SJenius    }
401*adc7b752SJenius    when(
402*adc7b752SJenius      CacheInstrucion.isReadDataECC(io.cacheOp.req.bits.opCode)
403*adc7b752SJenius    ){
404*adc7b752SJenius      for (i <- 0 until 2) {
405*adc7b752SJenius        codeArrays(i).io.read.req.map{ port =>
406*adc7b752SJenius          port.valid     := !io.cacheOp.req.bits.index(0)
407*adc7b752SJenius          port.bits.ridx := io.cacheOp.req.bits.index(highestIdxBit,1)
408*adc7b752SJenius        }
409*adc7b752SJenius      }
410*adc7b752SJenius      cacheOpShouldResp := true.B
411*adc7b752SJenius      io.cacheOp.resp.valid := RegNext(codeArrays.head.io.read.req.map(_.fire()).reduce(_||_) && cacheOpShouldResp)
412*adc7b752SJenius
413*adc7b752SJenius      val codeResp = Mux(io.cacheOp.req.bits.bank_num(0).asBool,
414*adc7b752SJenius        read_codes(1),
415*adc7b752SJenius        read_codes(0)
416*adc7b752SJenius      )
417*adc7b752SJenius
418*adc7b752SJenius      io.cacheOp.resp.bits.read_data_ecc := codeResp(io.cacheOp.req.bits.wayNum(4, 0))
419*adc7b752SJenius    }
420*adc7b752SJenius    when(CacheInstrucion.isWriteData(io.cacheOp.req.bits.opCode)){
421*adc7b752SJenius      for (i <- 0 until 2) {
422*adc7b752SJenius        dataArrays(i).io.write.valid := true.B
423*adc7b752SJenius        dataArrays(i).io.write.bits.wdata := io.cacheOp.req.bits.write_data_vec.asTypeOf(write_data.cloneType)
424*adc7b752SJenius        dataArrays(i).io.write.bits.wbankidx := io.cacheOp.req.bits.index(0)
425*adc7b752SJenius        dataArrays(i).io.write.bits.widx := io.cacheOp.req.bits.index(highestIdxBit,1)
426*adc7b752SJenius        dataArrays(i).io.write.bits.wmask  := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)).asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
427*adc7b752SJenius      }
428*adc7b752SJenius      cacheOpShouldResp := true.B
429*adc7b752SJenius    }
430*adc7b752SJenius  }
4311d8f4dcbSJay}
4321d8f4dcbSJay
4331d8f4dcbSJay
4341d8f4dcbSJayclass ICacheIO(implicit p: Parameters) extends ICacheBundle
4351d8f4dcbSJay{
43641cb8b61SJenius  val hartId = Input(UInt(8.W))
4377052722fSJay  val prefetch    = Flipped(new FtqPrefechBundle)
4381d8f4dcbSJay  val stop        = Input(Bool())
439c5c5edaeSJenius  val fetch       = new ICacheMainPipeBundle
44061e1db30SJay  val pmp         = Vec(PortNumber + 1, new ICachePMPBundle)
441f1fe8698SLemover  val itlb        = Vec(PortNumber + 1, new TlbRequestIO)
4421d8f4dcbSJay  val perfInfo    = Output(new ICachePerfInfo)
44358dbdfc2SJay  val error       = new L1CacheErrorInfo
444ecccf78fSJay  /* Cache Instruction */
445ecccf78fSJay  val csr         = new L1CacheToCsrIO
446ecccf78fSJay  /* CSR control signal */
447ecccf78fSJay  val csr_pf_enable = Input(Bool())
448ecccf78fSJay  val csr_parity_enable = Input(Bool())
4491d8f4dcbSJay}
4501d8f4dcbSJay
4511d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters {
4521d8f4dcbSJay
4531d8f4dcbSJay  val clientParameters = TLMasterPortParameters.v1(
4541d8f4dcbSJay    Seq(TLMasterParameters.v1(
4551d8f4dcbSJay      name = "icache",
4561d8f4dcbSJay      sourceId = IdRange(0, cacheParams.nMissEntries + cacheParams.nReleaseEntries),
4577052722fSJay      supportsProbe = TransferSizes(blockBytes),
4587052722fSJay      supportsHint = TransferSizes(blockBytes)
4591d8f4dcbSJay    )),
4601d8f4dcbSJay    requestFields = cacheParams.reqFields,
4611d8f4dcbSJay    echoFields = cacheParams.echoFields
4621d8f4dcbSJay  )
4631d8f4dcbSJay
4641d8f4dcbSJay  val clientNode = TLClientNode(Seq(clientParameters))
4651d8f4dcbSJay
4661d8f4dcbSJay  lazy val module = new ICacheImp(this)
4671d8f4dcbSJay}
4681d8f4dcbSJay
4691ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents {
4701d8f4dcbSJay  val io = IO(new ICacheIO)
4711d8f4dcbSJay
4727052722fSJay  println("ICache:")
4737052722fSJay  println("  ICacheSets: "          + cacheParams.nSets)
4747052722fSJay  println("  ICacheWays: "          + cacheParams.nWays)
4757052722fSJay  println("  ICacheBanks: "         + PortNumber)
4767052722fSJay  println("  hasPrefetch: "         + cacheParams.hasPrefetch)
4777052722fSJay  if(cacheParams.hasPrefetch){
4787052722fSJay    println("  nPrefetchEntries: "         + cacheParams.nPrefetchEntries)
4797052722fSJay  }
4807052722fSJay
4811d8f4dcbSJay  val (bus, edge) = outer.clientNode.out.head
4821d8f4dcbSJay
4831d8f4dcbSJay  val metaArray      = Module(new ICacheMetaArray)
4841d8f4dcbSJay  val dataArray      = Module(new ICacheDataArray)
4852a25dbb4SJay  val mainPipe       = Module(new ICacheMainPipe)
4861d8f4dcbSJay  val missUnit      = Module(new ICacheMissUnit(edge))
4871d8f4dcbSJay  val releaseUnit    = Module(new ReleaseUnit(edge))
48800240ba6SJay  val replacePipe     = Module(new ICacheReplacePipe)
4891d8f4dcbSJay  val probeQueue     = Module(new ICacheProbeQueue(edge))
4907052722fSJay  val prefetchPipe    = Module(new IPrefetchPipe)
4911d8f4dcbSJay
492*adc7b752SJenius  val meta_read_arb   = Module(new Arbiter(Vec(partWayNum, new ICacheReadBundle),  3))
493*adc7b752SJenius  val data_read_arb   = Module(new Arbiter(Vec(partWayNum, new ICacheReadBundle),  2))
4942a25dbb4SJay  val meta_write_arb  = Module(new Arbiter(new ICacheMetaWriteBundle(),  2 ))
4952a25dbb4SJay  val replace_req_arb = Module(new Arbiter(new ReplacePipeReq, 2))
49691df15e5SJay  // val tlb_req_arb     = Module(new Arbiter(new TlbReq, 2))
4971d8f4dcbSJay
4982a25dbb4SJay  meta_read_arb.io.in(ReplacePipeKey)   <> replacePipe.io.meta_read
4997052722fSJay  meta_read_arb.io.in(MainPipeKey)      <> mainPipe.io.metaArray.toIMeta
5007052722fSJay  meta_read_arb.io.in(2)                <> prefetchPipe.io.toIMeta
5011d8f4dcbSJay  metaArray.io.read                     <> meta_read_arb.io.out
5027052722fSJay
5032a25dbb4SJay  replacePipe.io.meta_response          <> metaArray.io.readResp
5042a25dbb4SJay  mainPipe.io.metaArray.fromIMeta       <> metaArray.io.readResp
5057052722fSJay  prefetchPipe.io.fromIMeta             <> metaArray.io.readResp
5061d8f4dcbSJay
5072a25dbb4SJay  data_read_arb.io.in(ReplacePipeKey) <> replacePipe.io.data_read
5087052722fSJay  data_read_arb.io.in(MainPipeKey)    <> mainPipe.io.dataArray.toIData
5091d8f4dcbSJay  dataArray.io.read                   <> data_read_arb.io.out
5102a25dbb4SJay  replacePipe.io.data_response        <> dataArray.io.readResp
5112a25dbb4SJay  mainPipe.io.dataArray.fromIData     <> dataArray.io.readResp
5121d8f4dcbSJay
5132a25dbb4SJay  mainPipe.io.respStall := io.stop
5142a25dbb4SJay  io.perfInfo := mainPipe.io.perfInfo
5151d8f4dcbSJay
5162a25dbb4SJay  meta_write_arb.io.in(ReplacePipeKey)  <> replacePipe.io.meta_write
5177052722fSJay  meta_write_arb.io.in(MainPipeKey)     <> missUnit.io.meta_write
5181d8f4dcbSJay
5191d8f4dcbSJay  metaArray.io.write <> meta_write_arb.io.out
5201d8f4dcbSJay  dataArray.io.write <> missUnit.io.data_write
5211d8f4dcbSJay
522ecccf78fSJay  mainPipe.io.csr_parity_enable := io.csr_parity_enable
523ecccf78fSJay  replacePipe.io.csr_parity_enable := io.csr_parity_enable
524ecccf78fSJay
5257052722fSJay  if(cacheParams.hasPrefetch){
5267052722fSJay    prefetchPipe.io.fromFtq <> io.prefetch
527ecccf78fSJay    when(!io.csr_pf_enable){
528ecccf78fSJay      prefetchPipe.io.fromFtq.req.valid := false.B
529ecccf78fSJay      io.prefetch.req.ready := true.B
530ecccf78fSJay    }
5317052722fSJay  } else {
5327052722fSJay    prefetchPipe.io.fromFtq <> DontCare
5337052722fSJay  }
5347052722fSJay
53561e1db30SJay  io.pmp(0) <> mainPipe.io.pmp(0)
53661e1db30SJay  io.pmp(1) <> mainPipe.io.pmp(1)
53761e1db30SJay  io.pmp(2) <> prefetchPipe.io.pmp
5387052722fSJay
539a108d429SJay  prefetchPipe.io.prefetchEnable := mainPipe.io.prefetchEnable
540a108d429SJay  prefetchPipe.io.prefetchDisable := mainPipe.io.prefetchDisable
541a108d429SJay
542a108d429SJay
54391df15e5SJay  // tlb_req_arb.io.in(0) <> mainPipe.io.itlb(0).req
54491df15e5SJay  // tlb_req_arb.io.in(1) <> prefetchPipe.io.iTLBInter.req
54591df15e5SJay  // io.itlb(0).req       <>    tlb_req_arb.io.out
5467052722fSJay
54791df15e5SJay  // mainPipe.io.itlb(0).resp  <>  io.itlb(0).resp
54891df15e5SJay  // prefetchPipe.io.iTLBInter.resp  <>  io.itlb(0).resp
5497052722fSJay
55091df15e5SJay  // when(mainPipe.io.itlb(0).req.fire() && prefetchPipe.io.iTLBInter.req.fire())
55191df15e5SJay  // {
55291df15e5SJay  //   assert(false.B, "Both mainPipe ITLB and prefetchPipe ITLB fire!")
55391df15e5SJay  // }
5547052722fSJay
55591df15e5SJay  io.itlb(0)        <>    mainPipe.io.itlb(0)
5567052722fSJay  io.itlb(1)        <>    mainPipe.io.itlb(1)
557f1fe8698SLemover  // io.itlb(2)        <>    mainPipe.io.itlb(2)
558f1fe8698SLemover  // io.itlb(3)        <>    mainPipe.io.itlb(3)
559f1fe8698SLemover  io.itlb(2)        <>    prefetchPipe.io.iTLBInter
5607052722fSJay
5611d8f4dcbSJay
562c5c5edaeSJenius  io.fetch.resp     <>    mainPipe.io.fetch.resp
563c5c5edaeSJenius
564c5c5edaeSJenius  for(i <- 0 until PortNumber){
5652a25dbb4SJay    missUnit.io.req(i)           <>   mainPipe.io.mshr(i).toMSHR
5662a25dbb4SJay    mainPipe.io.mshr(i).fromMSHR <>   missUnit.io.resp(i)
5671d8f4dcbSJay
5681d8f4dcbSJay  }
5691d8f4dcbSJay
5707052722fSJay  missUnit.io.prefetch_req <> prefetchPipe.io.toMissUnit.enqReq
57141cb8b61SJenius  missUnit.io.hartId       := io.hartId
57200240ba6SJay  prefetchPipe.io.fromMSHR <> missUnit.io.prefetch_check
57300240ba6SJay
5741d8f4dcbSJay  bus.b.ready := false.B
5751d8f4dcbSJay  bus.c.valid := false.B
5761d8f4dcbSJay  bus.c.bits  := DontCare
5771d8f4dcbSJay  bus.e.valid := false.B
5781d8f4dcbSJay  bus.e.bits  := DontCare
5791d8f4dcbSJay
5801d8f4dcbSJay  bus.a <> missUnit.io.mem_acquire
5811d8f4dcbSJay  bus.e <> missUnit.io.mem_finish
5821d8f4dcbSJay
58300240ba6SJay  releaseUnit.io.req <>  replacePipe.io.release_req
58400240ba6SJay  replacePipe.io.release_finish := releaseUnit.io.finish
5851d8f4dcbSJay  bus.c <> releaseUnit.io.mem_release
5861d8f4dcbSJay
5871d8f4dcbSJay  // connect bus d
5881d8f4dcbSJay  missUnit.io.mem_grant.valid := false.B
5891d8f4dcbSJay  missUnit.io.mem_grant.bits  := DontCare
5901d8f4dcbSJay
5911d8f4dcbSJay  releaseUnit.io.mem_grant.valid := false.B
5921d8f4dcbSJay  releaseUnit.io.mem_grant.bits  := DontCare
5931d8f4dcbSJay
5941d8f4dcbSJay  //Probe through bus b
5951d8f4dcbSJay  probeQueue.io.mem_probe    <> bus.b
5961d8f4dcbSJay
59758dbdfc2SJay  //Parity error port
59858dbdfc2SJay  val errors = mainPipe.io.errors ++ Seq(replacePipe.io.error)
5990f59c834SWilliam Wang  io.error <> RegNext(Mux1H(errors.map(e => e.valid -> e)))
60058dbdfc2SJay
6012a25dbb4SJay
6022a25dbb4SJay  /** Block set-conflict request */
6032a25dbb4SJay val probeReqValid = probeQueue.io.pipe_req.valid
6042a25dbb4SJay val probeReqVidx  = probeQueue.io.pipe_req.bits.vidx
6052a25dbb4SJay
6062a25dbb4SJay  val hasVictim = VecInit(missUnit.io.victimInfor.map(_.valid))
6072a25dbb4SJay  val victimSetSeq = VecInit(missUnit.io.victimInfor.map(_.vidx))
6082a25dbb4SJay
6092a25dbb4SJay  val probeShouldBlock = VecInit(hasVictim.zip(victimSetSeq).map{case(valid, idx) =>  valid && probeReqValid && idx === probeReqVidx }).reduce(_||_)
6102a25dbb4SJay
6112a25dbb4SJay val releaseReqValid = missUnit.io.release_req.valid
6122a25dbb4SJay val releaseReqVidx  = missUnit.io.release_req.bits.vidx
6132a25dbb4SJay
6142a25dbb4SJay  val hasConflict = VecInit(Seq(
6152a25dbb4SJay        replacePipe.io.status.r1_set.valid,
61600240ba6SJay        replacePipe.io.status.r2_set.valid,
61700240ba6SJay        replacePipe.io.status.r3_set.valid
6181d8f4dcbSJay  ))
6191d8f4dcbSJay
6202a25dbb4SJay  val conflictIdx = VecInit(Seq(
6212a25dbb4SJay        replacePipe.io.status.r1_set.bits,
62200240ba6SJay        replacePipe.io.status.r2_set.bits,
62300240ba6SJay        replacePipe.io.status.r3_set.bits
6241d8f4dcbSJay  ))
6251d8f4dcbSJay
6262a25dbb4SJay  val releaseShouldBlock = VecInit(hasConflict.zip(conflictIdx).map{case(valid, idx) =>  valid && releaseReqValid && idx === releaseReqVidx }).reduce(_||_)
6271d8f4dcbSJay
62892acb6b9SJay  replace_req_arb.io.in(ReplacePipeKey) <> probeQueue.io.pipe_req
62992acb6b9SJay  replace_req_arb.io.in(ReplacePipeKey).valid := probeQueue.io.pipe_req.valid && !probeShouldBlock
6307052722fSJay  replace_req_arb.io.in(MainPipeKey)   <> missUnit.io.release_req
6317052722fSJay  replace_req_arb.io.in(MainPipeKey).valid := missUnit.io.release_req.valid && !releaseShouldBlock
63292acb6b9SJay  replacePipe.io.pipe_req               <> replace_req_arb.io.out
63392acb6b9SJay
634c90cd2d1SJay  when(releaseShouldBlock){
635c90cd2d1SJay    missUnit.io.release_req.ready := false.B
636c90cd2d1SJay  }
637c90cd2d1SJay
638c90cd2d1SJay  when(probeShouldBlock){
639c90cd2d1SJay    probeQueue.io.pipe_req.ready := false.B
640c90cd2d1SJay  }
641c90cd2d1SJay
642c90cd2d1SJay
64392acb6b9SJay  missUnit.io.release_resp <> replacePipe.io.pipe_resp
64492acb6b9SJay
6451d8f4dcbSJay
646c5c5edaeSJenius  mainPipe.io.fetch.req <> io.fetch.req //&& !fetchShouldBlock(i)
6471d8f4dcbSJay  // in L1ICache, we only expect GrantData and ReleaseAck
6481d8f4dcbSJay  bus.d.ready := false.B
6491d8f4dcbSJay  when ( bus.d.bits.opcode === TLMessages.GrantData) {
6501d8f4dcbSJay    missUnit.io.mem_grant <> bus.d
6511d8f4dcbSJay  } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) {
6521d8f4dcbSJay    releaseUnit.io.mem_grant <> bus.d
6531d8f4dcbSJay  } .otherwise {
6541d8f4dcbSJay    assert (!bus.d.fire())
6551d8f4dcbSJay  }
6561d8f4dcbSJay
6571d8f4dcbSJay  val perfEvents = Seq(
6581d8f4dcbSJay    ("icache_miss_cnt  ", false.B),
6591d8f4dcbSJay    ("icache_miss_penty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)),
6601d8f4dcbSJay  )
6611ca0e4f3SYinan Xu  generatePerfEvent()
6621d8f4dcbSJay
6631d8f4dcbSJay  // Customized csr cache op support
6641d8f4dcbSJay  val cacheOpDecoder = Module(new CSRCacheOpDecoder("icache", CacheInstrucion.COP_ID_ICACHE))
6651d8f4dcbSJay  cacheOpDecoder.io.csr <> io.csr
6661d8f4dcbSJay  dataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
6671d8f4dcbSJay  metaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
6681d8f4dcbSJay  cacheOpDecoder.io.cache.resp.valid :=
6691d8f4dcbSJay    dataArray.io.cacheOp.resp.valid ||
6701d8f4dcbSJay    metaArray.io.cacheOp.resp.valid
6711d8f4dcbSJay  cacheOpDecoder.io.cache.resp.bits := Mux1H(List(
6721d8f4dcbSJay    dataArray.io.cacheOp.resp.valid -> dataArray.io.cacheOp.resp.bits,
6731d8f4dcbSJay    metaArray.io.cacheOp.resp.valid -> metaArray.io.cacheOp.resp.bits,
6741d8f4dcbSJay  ))
6759ef181f4SWilliam Wang  cacheOpDecoder.io.error := io.error
6761d8f4dcbSJay  assert(!((dataArray.io.cacheOp.resp.valid +& metaArray.io.cacheOp.resp.valid) > 1.U))
677*adc7b752SJenius
678*adc7b752SJenius}
679*adc7b752SJenius
680*adc7b752SJeniusclass ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
681*adc7b752SJenius  extends ICacheBundle
682*adc7b752SJenius{
683*adc7b752SJenius  val req = Flipped(Vec(PortNumber, Decoupled(new Bundle{
684*adc7b752SJenius    val ridx = UInt((log2Ceil(nSets) - 1).W)
685*adc7b752SJenius  })))
686*adc7b752SJenius  val resp = Output(new Bundle{
687*adc7b752SJenius    val rdata  = Vec(PortNumber,Vec(pWay, gen))
688*adc7b752SJenius  })
689*adc7b752SJenius}
690*adc7b752SJenius
691*adc7b752SJeniusclass ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
692*adc7b752SJenius  extends ICacheBundle
693*adc7b752SJenius{
694*adc7b752SJenius  val wdata = gen
695*adc7b752SJenius  val widx = UInt((log2Ceil(nSets) - 1).W)
696*adc7b752SJenius  val wbankidx = Bool()
697*adc7b752SJenius  val wmask = Vec(pWay, Bool())
698*adc7b752SJenius}
699*adc7b752SJenius
700*adc7b752SJeniusclass ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray
701*adc7b752SJenius{
702*adc7b752SJenius
703*adc7b752SJenius  //including part way data
704*adc7b752SJenius  val io = IO{new Bundle {
705*adc7b752SJenius    val read      = new  ICachePartWayReadBundle(gen,pWay)
706*adc7b752SJenius    val write     = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay)))
707*adc7b752SJenius  }}
708*adc7b752SJenius
709*adc7b752SJenius  io.read.req.map(_.ready := !io.write.valid)
710*adc7b752SJenius
711*adc7b752SJenius  val srams = (0 until PortNumber) map { bank =>
712*adc7b752SJenius    val sramBank = Module(new SRAMTemplate(
713*adc7b752SJenius      gen,
714*adc7b752SJenius      set=nSets/2,
715*adc7b752SJenius      way=pWay,
716*adc7b752SJenius      shouldReset = true,
717*adc7b752SJenius      holdRead = true,
718*adc7b752SJenius      singlePort = true
719*adc7b752SJenius    ))
720*adc7b752SJenius
721*adc7b752SJenius    sramBank.io.r.req.valid := io.read.req(bank).valid
722*adc7b752SJenius    sramBank.io.r.req.bits.apply(setIdx= io.read.req(bank).bits.ridx)
723*adc7b752SJenius
724*adc7b752SJenius    if(bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx
725*adc7b752SJenius    else sramBank.io.w.req.valid := io.write.valid && io.write.bits.wbankidx
726*adc7b752SJenius    sramBank.io.w.req.bits.apply(data=io.write.bits.wdata, setIdx=io.write.bits.widx, waymask=io.write.bits.wmask.asUInt())
727*adc7b752SJenius
728*adc7b752SJenius    sramBank
729*adc7b752SJenius  }
730*adc7b752SJenius
731*adc7b752SJenius  io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_&&_))
732*adc7b752SJenius
733*adc7b752SJenius  io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay,gen))))
734*adc7b752SJenius
7351d8f4dcbSJay}
736