11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 191d8f4dcbSJayimport chisel3._ 207f37d55fSTang Haojinimport chisel3.util._ 217f37d55fSTang Haojinimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp} 221d8f4dcbSJayimport freechips.rocketchip.tilelink._ 231d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase 247f37d55fSTang Haojinimport huancun.{AliasField, PrefetchField} 257f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters 263c02ee8fSwakafaimport utility._ 277f37d55fSTang Haojinimport utils._ 287f37d55fSTang Haojinimport xiangshan._ 297f37d55fSTang Haojinimport xiangshan.cache._ 307f37d55fSTang Haojinimport xiangshan.cache.mmu.TlbRequestIO 317f37d55fSTang Haojinimport xiangshan.frontend._ 32*a61a35e0Sssszwicimport firrtl.ir.Block 331d8f4dcbSJay 341d8f4dcbSJaycase class ICacheParameters( 351d8f4dcbSJay nSets: Int = 256, 3676b0dfefSGuokai Chen nWays: Int = 4, 371d8f4dcbSJay rowBits: Int = 64, 381d8f4dcbSJay nTLBEntries: Int = 32, 391d8f4dcbSJay tagECC: Option[String] = None, 401d8f4dcbSJay dataECC: Option[String] = None, 411d8f4dcbSJay replacer: Option[String] = Some("random"), 421d8f4dcbSJay nMissEntries: Int = 2, 4300240ba6SJay nReleaseEntries: Int = 1, 441d8f4dcbSJay nProbeEntries: Int = 2, 4558c354d0Sssszwic // fdip default config 4658c354d0Sssszwic enableICachePrefetch: Boolean = true, 4758c354d0Sssszwic prefetchToL1: Boolean = false, 4858c354d0Sssszwic prefetchPipeNum: Int = 1, 49cb93f2f2Sguohongyu nPrefetchEntries: Int = 12, 509bba777eSssszwic nPrefBufferEntries: Int = 32, 5158c354d0Sssszwic maxIPFMoveConf: Int = 1, // temporary use small value to cause more "move" operation 52f9c51548Sssszwic minRangeFromIFUptr: Int = 2, 53f9c51548Sssszwic maxRangeFromIFUptr: Int = 32, 5458c354d0Sssszwic 551d8f4dcbSJay nMMIOs: Int = 1, 561d8f4dcbSJay blockBytes: Int = 64 571d8f4dcbSJay)extends L1CacheParameters { 581d8f4dcbSJay 591d8f4dcbSJay val setBytes = nSets * blockBytes 60cb93f2f2Sguohongyu val aliasBitsOpt = DCacheParameters().aliasBitsOpt //if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 611d8f4dcbSJay val reqFields: Seq[BundleFieldBase] = Seq( 62d2b20d1aSTang Haojin PrefetchField(), 63d2b20d1aSTang Haojin ReqSourceField() 641d8f4dcbSJay ) ++ aliasBitsOpt.map(AliasField) 6515ee59e4Swakafa val echoFields: Seq[BundleFieldBase] = Nil 661d8f4dcbSJay def tagCode: Code = Code.fromString(tagECC) 671d8f4dcbSJay def dataCode: Code = Code.fromString(dataECC) 681d8f4dcbSJay def replacement = ReplacementPolicy.fromString(replacer,nWays,nSets) 691d8f4dcbSJay} 701d8f4dcbSJay 711d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst{ 721d8f4dcbSJay val cacheParams = icacheParameters 7342cfa32cSJinYue val dataCodeUnit = 16 74*a61a35e0Sssszwic val dataCodeUnitNum = blockBits/2/dataCodeUnit 751d8f4dcbSJay 761d8f4dcbSJay def highestIdxBit = log2Ceil(nSets) - 1 77b37bce8eSJinYue def encDataUnitBits = cacheParams.dataCode.width(dataCodeUnit) 78b37bce8eSJinYue def dataCodeBits = encDataUnitBits - dataCodeUnit 79b37bce8eSJinYue def dataCodeEntryBits = dataCodeBits * dataCodeUnitNum 801d8f4dcbSJay 811d8f4dcbSJay val ICacheSets = cacheParams.nSets 821d8f4dcbSJay val ICacheWays = cacheParams.nWays 831d8f4dcbSJay 841d8f4dcbSJay val ICacheSameVPAddrLength = 12 852a25dbb4SJay val ReplaceIdWid = 5 861d8f4dcbSJay 871d8f4dcbSJay val ICacheWordOffset = 0 881d8f4dcbSJay val ICacheSetOffset = ICacheWordOffset + log2Up(blockBytes) 891d8f4dcbSJay val ICacheAboveIndexOffset = ICacheSetOffset + log2Up(ICacheSets) 901d8f4dcbSJay val ICacheTagOffset = ICacheAboveIndexOffset min ICacheSameVPAddrLength 911d8f4dcbSJay 921d8f4dcbSJay def PortNumber = 2 931d8f4dcbSJay 9436638515SEaston Man def partWayNum = 2 95adc7b752SJenius def pWay = nWays/partWayNum 96adc7b752SJenius 9758c354d0Sssszwic def enableICachePrefetch = cacheParams.enableICachePrefetch 9858c354d0Sssszwic def prefetchToL1 = cacheParams.prefetchToL1 9958c354d0Sssszwic def prefetchPipeNum = cacheParams.prefetchPipeNum 1007052722fSJay def nPrefetchEntries = cacheParams.nPrefetchEntries 10158c354d0Sssszwic def nPrefBufferEntries = cacheParams.nPrefBufferEntries 10258c354d0Sssszwic def maxIPFMoveConf = cacheParams.maxIPFMoveConf 103f9c51548Sssszwic def minRangeFromIFUptr = cacheParams.minRangeFromIFUptr 104f9c51548Sssszwic def maxRangeFromIFUptr = cacheParams.maxRangeFromIFUptr 1051d8f4dcbSJay 106adc7b752SJenius def getBits(num: Int) = log2Ceil(num).W 107adc7b752SJenius 108adc7b752SJenius 1092a25dbb4SJay def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = { 1102a25dbb4SJay val valid = RegInit(false.B) 1112a25dbb4SJay when(thisFlush) {valid := false.B} 1122a25dbb4SJay .elsewhen(lastFire && !lastFlush) {valid := true.B} 1132a25dbb4SJay .elsewhen(thisFire) {valid := false.B} 1142a25dbb4SJay valid 1152a25dbb4SJay } 1162a25dbb4SJay 1172a25dbb4SJay def ResultHoldBypass[T<:Data](data: T, valid: Bool): T = { 1182a25dbb4SJay Mux(valid, data, RegEnable(data, valid)) 1192a25dbb4SJay } 1202a25dbb4SJay 121b1ded4e8Sguohongyu def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool ={ 122b1ded4e8Sguohongyu val bit = RegInit(false.B) 123b1ded4e8Sguohongyu when(flush) { bit := false.B } 124b1ded4e8Sguohongyu .elsewhen(valid && !release) { bit := true.B } 125b1ded4e8Sguohongyu .elsewhen(release) { bit := false.B } 126b1ded4e8Sguohongyu bit || valid 127b1ded4e8Sguohongyu } 128b1ded4e8Sguohongyu 1295470b21eSguohongyu def blockCounter(block: Bool, flush: Bool, threshold: Int): Bool = { 1305470b21eSguohongyu val counter = RegInit(0.U(log2Up(threshold + 1).W)) 1315470b21eSguohongyu when (block) { counter := counter + 1.U } 1325470b21eSguohongyu when (flush) { counter := 0.U} 1335470b21eSguohongyu counter > threshold.U 1345470b21eSguohongyu } 1355470b21eSguohongyu 13658c354d0Sssszwic def InitQueue[T <: Data](entry: T, size: Int): Vec[T] ={ 13758c354d0Sssszwic return RegInit(VecInit(Seq.fill(size)(0.U.asTypeOf(entry.cloneType)))) 13858c354d0Sssszwic } 13958c354d0Sssszwic 140f9c51548Sssszwic def getBlkAddr(addr: UInt) = addr >> log2Ceil(blockBytes) 14158c354d0Sssszwic 1421d8f4dcbSJay require(isPow2(nSets), s"nSets($nSets) must be pow2") 1431d8f4dcbSJay require(isPow2(nWays), s"nWays($nWays) must be pow2") 1441d8f4dcbSJay} 1451d8f4dcbSJay 1461d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle 1471d8f4dcbSJay with HasICacheParameters 1481d8f4dcbSJay 1491d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule 1501d8f4dcbSJay with HasICacheParameters 1511d8f4dcbSJay 1521d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule 1531d8f4dcbSJay with HasICacheParameters 1541d8f4dcbSJay 1551d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle { 1561d8f4dcbSJay val tag = UInt(tagBits.W) 1571d8f4dcbSJay} 1581d8f4dcbSJay 1591d8f4dcbSJayobject ICacheMetadata { 1604da04e5bSguohongyu def apply(tag: Bits)(implicit p: Parameters) = { 1619442775eSguohongyu val meta = Wire(new ICacheMetadata) 1621d8f4dcbSJay meta.tag := tag 1631d8f4dcbSJay meta 1641d8f4dcbSJay } 1651d8f4dcbSJay} 1661d8f4dcbSJay 1671d8f4dcbSJay 1681d8f4dcbSJayclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray 1691d8f4dcbSJay{ 1704da04e5bSguohongyu def onReset = ICacheMetadata(0.U) 1711d8f4dcbSJay val metaBits = onReset.getWidth 1721d8f4dcbSJay val metaEntryBits = cacheParams.tagCode.width(metaBits) 1731d8f4dcbSJay 1741d8f4dcbSJay val io=IO{new Bundle{ 1751d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheMetaWriteBundle)) 176afed18b5SJenius val read = Flipped(DecoupledIO(new ICacheReadBundle)) 1771d8f4dcbSJay val readResp = Output(new ICacheMetaRespBundle) 178026615fcSWilliam Wang val cacheOp = Flipped(new L1CacheInnerOpIO) // customized cache op port 1792a6078bfSguohongyu val fencei = Input(Bool()) 1801d8f4dcbSJay }} 1811d8f4dcbSJay 182afed18b5SJenius io.read.ready := !io.write.valid 183afed18b5SJenius 184afed18b5SJenius val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0) 185afed18b5SJenius val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0) 186afed18b5SJenius val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 187afed18b5SJenius val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 188afed18b5SJenius 189935edac4STang Haojin val port_0_read_0_reg = RegEnable(port_0_read_0, io.read.fire) 190935edac4STang Haojin val port_0_read_1_reg = RegEnable(port_0_read_1, io.read.fire) 191935edac4STang Haojin val port_1_read_1_reg = RegEnable(port_1_read_1, io.read.fire) 192935edac4STang Haojin val port_1_read_0_reg = RegEnable(port_1_read_0, io.read.fire) 193afed18b5SJenius 194afed18b5SJenius val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 195afed18b5SJenius val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 196afed18b5SJenius val bank_idx = Seq(bank_0_idx, bank_1_idx) 197afed18b5SJenius 198afed18b5SJenius val write_bank_0 = io.write.valid && !io.write.bits.bankIdx 199afed18b5SJenius val write_bank_1 = io.write.valid && io.write.bits.bankIdx 2001d8f4dcbSJay 2011d8f4dcbSJay val write_meta_bits = Wire(UInt(metaEntryBits.W)) 2021d8f4dcbSJay 203afed18b5SJenius val tagArrays = (0 until 2) map { bank => 204afed18b5SJenius val tagArray = Module(new SRAMTemplate( 2051d8f4dcbSJay UInt(metaEntryBits.W), 206afed18b5SJenius set=nSets/2, 207afed18b5SJenius way=nWays, 208afed18b5SJenius shouldReset = true, 209afed18b5SJenius holdRead = true, 210afed18b5SJenius singlePort = true 2111d8f4dcbSJay )) 2121d8f4dcbSJay 213afed18b5SJenius //meta connection 214afed18b5SJenius if(bank == 0) { 215afed18b5SJenius tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0 216afed18b5SJenius tagArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1)) 217afed18b5SJenius tagArray.io.w.req.valid := write_bank_0 218afed18b5SJenius tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 219afed18b5SJenius } 220afed18b5SJenius else { 221afed18b5SJenius tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1 222afed18b5SJenius tagArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1)) 223afed18b5SJenius tagArray.io.w.req.valid := write_bank_1 224afed18b5SJenius tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 225afed18b5SJenius } 2261d8f4dcbSJay 2271d8f4dcbSJay tagArray 2281d8f4dcbSJay } 229b37bce8eSJinYue 230935edac4STang Haojin val read_set_idx_next = RegEnable(io.read.bits.vSetIdx, io.read.fire) 2319442775eSguohongyu val valid_array = RegInit(VecInit(Seq.fill(nWays)(0.U(nSets.W)))) 23260672d5eSguohongyu val valid_metas = Wire(Vec(PortNumber, Vec(nWays, Bool()))) 23360672d5eSguohongyu // valid read 23460672d5eSguohongyu (0 until PortNumber).foreach( i => 23560672d5eSguohongyu (0 until nWays).foreach( way => 23660672d5eSguohongyu valid_metas(i)(way) := valid_array(way)(read_set_idx_next(i)) 23760672d5eSguohongyu )) 23860672d5eSguohongyu io.readResp.entryValid := valid_metas 23960672d5eSguohongyu 2402a6078bfSguohongyu io.read.ready := !io.write.valid && !io.fencei && tagArrays.map(_.io.r.req.ready).reduce(_&&_) 241afed18b5SJenius 242afed18b5SJenius //Parity Decode 2431d8f4dcbSJay val read_metas = Wire(Vec(2,Vec(nWays,new ICacheMetadata()))) 244afed18b5SJenius for((tagArray,i) <- tagArrays.zipWithIndex){ 245afed18b5SJenius val read_meta_bits = tagArray.io.r.resp.asTypeOf(Vec(nWays,UInt(metaEntryBits.W))) 2461d8f4dcbSJay val read_meta_decoded = read_meta_bits.map{ way_bits => cacheParams.tagCode.decode(way_bits)} 2471d8f4dcbSJay val read_meta_wrong = read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.error} 2481d8f4dcbSJay val read_meta_corrected = VecInit(read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.corrected}) 249afed18b5SJenius read_metas(i) := read_meta_corrected.asTypeOf(Vec(nWays,new ICacheMetadata())) 250afed18b5SJenius (0 until nWays).map{ w => io.readResp.errors(i)(w) := RegNext(read_meta_wrong(w)) && RegNext(RegNext(io.read.fire))} 2511d8f4dcbSJay } 252afed18b5SJenius 253afed18b5SJenius //Parity Encode 254afed18b5SJenius val write = io.write.bits 2554da04e5bSguohongyu write_meta_bits := cacheParams.tagCode.encode(ICacheMetadata(tag = write.phyTag).asUInt) 256afed18b5SJenius 25760672d5eSguohongyu // valid write 25860672d5eSguohongyu val way_num = OHToUInt(io.write.bits.waymask) 25960672d5eSguohongyu when (io.write.valid) { 2609442775eSguohongyu valid_array(way_num) := valid_array(way_num).bitSet(io.write.bits.virIdx, true.B) 26160672d5eSguohongyu } 2621d8f4dcbSJay 2639442775eSguohongyu XSPerfAccumulate("meta_refill_num", io.write.valid) 2649442775eSguohongyu 2651d8f4dcbSJay io.readResp.metaData <> DontCare 2661d8f4dcbSJay when(port_0_read_0_reg){ 2671d8f4dcbSJay io.readResp.metaData(0) := read_metas(0) 2681d8f4dcbSJay }.elsewhen(port_0_read_1_reg){ 2691d8f4dcbSJay io.readResp.metaData(0) := read_metas(1) 2701d8f4dcbSJay } 2711d8f4dcbSJay 2721d8f4dcbSJay when(port_1_read_0_reg){ 2731d8f4dcbSJay io.readResp.metaData(1) := read_metas(0) 2741d8f4dcbSJay }.elsewhen(port_1_read_1_reg){ 2751d8f4dcbSJay io.readResp.metaData(1) := read_metas(1) 2761d8f4dcbSJay } 2771d8f4dcbSJay 278afed18b5SJenius 2790c26d810Sguohongyu io.write.ready := true.B // TODO : has bug ? should be !io.cacheOp.req.valid 2801d8f4dcbSJay // deal with customized cache op 2811d8f4dcbSJay require(nWays <= 32) 2821d8f4dcbSJay io.cacheOp.resp.bits := DontCare 2831d8f4dcbSJay val cacheOpShouldResp = WireInit(false.B) 2841d8f4dcbSJay when(io.cacheOp.req.valid){ 2851d8f4dcbSJay when( 2861d8f4dcbSJay CacheInstrucion.isReadTag(io.cacheOp.req.bits.opCode) || 2871d8f4dcbSJay CacheInstrucion.isReadTagECC(io.cacheOp.req.bits.opCode) 2881d8f4dcbSJay ){ 2891d8f4dcbSJay for (i <- 0 until 2) { 290afed18b5SJenius tagArrays(i).io.r.req.valid := true.B 291afed18b5SJenius tagArrays(i).io.r.req.bits.apply(setIdx = io.cacheOp.req.bits.index) 2921d8f4dcbSJay } 2931d8f4dcbSJay cacheOpShouldResp := true.B 2941d8f4dcbSJay } 295afed18b5SJenius when(CacheInstrucion.isWriteTag(io.cacheOp.req.bits.opCode)){ 2961d8f4dcbSJay for (i <- 0 until 2) { 297afed18b5SJenius tagArrays(i).io.w.req.valid := true.B 298afed18b5SJenius tagArrays(i).io.w.req.bits.apply( 299afed18b5SJenius data = io.cacheOp.req.bits.write_tag_low, 300afed18b5SJenius setIdx = io.cacheOp.req.bits.index, 301032979c2SEaston Man waymask = UIntToOH(io.cacheOp.req.bits.wayNum(log2Ceil(nWays) - 1, 0)) 302afed18b5SJenius ) 3031d8f4dcbSJay } 3041d8f4dcbSJay cacheOpShouldResp := true.B 3051d8f4dcbSJay } 306afed18b5SJenius // TODO 307afed18b5SJenius // when(CacheInstrucion.isWriteTagECC(io.cacheOp.req.bits.opCode)){ 308afed18b5SJenius // for (i <- 0 until readPorts) { 309afed18b5SJenius // array(i).io.ecc_write.valid := true.B 310afed18b5SJenius // array(i).io.ecc_write.bits.idx := io.cacheOp.req.bits.index 311afed18b5SJenius // array(i).io.ecc_write.bits.way_en := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 312afed18b5SJenius // array(i).io.ecc_write.bits.ecc := io.cacheOp.req.bits.write_tag_ecc 313afed18b5SJenius // } 314afed18b5SJenius // cacheOpShouldResp := true.B 315afed18b5SJenius // } 3161d8f4dcbSJay } 317afed18b5SJenius io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp) 318afed18b5SJenius io.cacheOp.resp.bits.read_tag_low := Mux(io.cacheOp.resp.valid, 319afed18b5SJenius tagArrays(0).io.r.resp.asTypeOf(Vec(nWays, UInt(tagBits.W)))(io.cacheOp.req.bits.wayNum), 320afed18b5SJenius 0.U 3211d8f4dcbSJay ) 322afed18b5SJenius io.cacheOp.resp.bits.read_tag_ecc := DontCare // TODO 323afed18b5SJenius // TODO: deal with duplicated array 3242a6078bfSguohongyu 3252a6078bfSguohongyu // fencei logic : reset valid_array 3262a6078bfSguohongyu when (io.fencei) { 3272a6078bfSguohongyu (0 until nWays).foreach( way => 3282a6078bfSguohongyu valid_array(way) := 0.U 3292a6078bfSguohongyu ) 3302a6078bfSguohongyu } 3311d8f4dcbSJay} 3321d8f4dcbSJay 3331d8f4dcbSJay 334afed18b5SJenius 3351d8f4dcbSJayclass ICacheDataArray(implicit p: Parameters) extends ICacheArray 3361d8f4dcbSJay{ 337b37bce8eSJinYue 338b37bce8eSJinYue def getECCFromEncUnit(encUnit: UInt) = { 339b37bce8eSJinYue require(encUnit.getWidth == encDataUnitBits) 340e5f1252bSGuokai Chen if (encDataUnitBits == dataCodeUnit) { 341e5f1252bSGuokai Chen 0.U.asTypeOf(UInt(1.W)) 342e5f1252bSGuokai Chen } else { 343b37bce8eSJinYue encUnit(encDataUnitBits - 1, dataCodeUnit) 344b37bce8eSJinYue } 345e5f1252bSGuokai Chen } 346b37bce8eSJinYue 347b37bce8eSJinYue def getECCFromBlock(cacheblock: UInt) = { 348b37bce8eSJinYue // require(cacheblock.getWidth == blockBits) 349b37bce8eSJinYue VecInit((0 until dataCodeUnitNum).map { w => 350b37bce8eSJinYue val unit = cacheblock(dataCodeUnit * (w + 1) - 1, dataCodeUnit * w) 351b37bce8eSJinYue getECCFromEncUnit(cacheParams.dataCode.encode(unit)) 352b37bce8eSJinYue }) 353b37bce8eSJinYue } 354b37bce8eSJinYue 355*a61a35e0Sssszwic val halfBlockBits = blockBits / 2 356*a61a35e0Sssszwic val codeBits = dataCodeEntryBits 357*a61a35e0Sssszwic 3581d8f4dcbSJay val io=IO{new Bundle{ 3591d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheDataWriteBundle)) 360adc7b752SJenius val read = Flipped(DecoupledIO(Vec(partWayNum, new ICacheReadBundle))) 3611d8f4dcbSJay val readResp = Output(new ICacheDataRespBundle) 362026615fcSWilliam Wang val cacheOp = Flipped(new L1CacheInnerOpIO) // customized cache op port 3631d8f4dcbSJay }} 364*a61a35e0Sssszwic io.cacheOp := DontCare 365*a61a35e0Sssszwic /** 366*a61a35e0Sssszwic ****************************************************************************** 367*a61a35e0Sssszwic * data array 368*a61a35e0Sssszwic ****************************************************************************** 369*a61a35e0Sssszwic */ 370*a61a35e0Sssszwic val write_data_bits = io.write.bits.data.asTypeOf(Vec(2, UInt(halfBlockBits.W))) 371*a61a35e0Sssszwic val dataArrays = (0 until partWayNum).map{ bank => 372*a61a35e0Sssszwic (0 until 2).map { i => 373*a61a35e0Sssszwic val sramBank = Module(new SRAMTemplate( 374*a61a35e0Sssszwic UInt(halfBlockBits.W), 375*a61a35e0Sssszwic set=nSets, 376*a61a35e0Sssszwic way=pWay, 377*a61a35e0Sssszwic shouldReset = true, 378*a61a35e0Sssszwic holdRead = true, 379*a61a35e0Sssszwic singlePort = true 3801d8f4dcbSJay )) 381*a61a35e0Sssszwic // SRAM read logic 382*a61a35e0Sssszwic sramBank.io.r.req.valid := io.read.valid 383*a61a35e0Sssszwic if (i == 1) { 384*a61a35e0Sssszwic sramBank.io.r.req.bits.apply(setIdx= io.read.bits(bank).vSetIdx(0)) 385*a61a35e0Sssszwic } else { 386*a61a35e0Sssszwic // read low of startline if cross cacheline 387*a61a35e0Sssszwic val setIdx = Mux(io.read.bits(bank).isDoubleLine, io.read.bits(bank).vSetIdx(1), io.read.bits(bank).vSetIdx(0)) 388*a61a35e0Sssszwic sramBank.io.r.req.bits.apply(setIdx= setIdx) 3891d8f4dcbSJay } 3901d8f4dcbSJay 391*a61a35e0Sssszwic // SRAM write logic 392*a61a35e0Sssszwic val waymask = io.write.bits.waymask.asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(bank) 393*a61a35e0Sssszwic // waymask is invalid when way of SRAMTemplate is 1 394*a61a35e0Sssszwic sramBank.io.w.req.valid := io.write.valid && waymask.asUInt.orR 395*a61a35e0Sssszwic sramBank.io.w.req.bits.apply( 396*a61a35e0Sssszwic data = write_data_bits(i), 397*a61a35e0Sssszwic setIdx = io.write.bits.virIdx, 398*a61a35e0Sssszwic waymask = waymask.asUInt 399*a61a35e0Sssszwic ) 400*a61a35e0Sssszwic sramBank 401adc7b752SJenius } 402adc7b752SJenius } 403adc7b752SJenius 404*a61a35e0Sssszwic /** 405*a61a35e0Sssszwic ****************************************************************************** 406*a61a35e0Sssszwic * data code array 407*a61a35e0Sssszwic ****************************************************************************** 408*a61a35e0Sssszwic */ 409*a61a35e0Sssszwic val write_code_bits = write_data_bits.map(getECCFromBlock(_).asUInt) 410afed18b5SJenius val codeArrays = (0 until 2) map { i => 411afed18b5SJenius val codeArray = Module(new SRAMTemplate( 412*a61a35e0Sssszwic UInt(codeBits.W), 413*a61a35e0Sssszwic set=nSets, 414afed18b5SJenius way=nWays, 415afed18b5SJenius shouldReset = true, 416afed18b5SJenius holdRead = true, 417afed18b5SJenius singlePort = true 418b37bce8eSJinYue )) 419*a61a35e0Sssszwic // SRAM read logic 420*a61a35e0Sssszwic codeArray.io.r.req.valid := io.read.valid 421*a61a35e0Sssszwic if (i == 1) { 422*a61a35e0Sssszwic codeArray.io.r.req.bits.apply(setIdx= io.read.bits.last.vSetIdx(0)) 423*a61a35e0Sssszwic } else { 424*a61a35e0Sssszwic val setIdx = Mux(io.read.bits.last.isDoubleLine, io.read.bits.last.vSetIdx(1), io.read.bits.last.vSetIdx(0)) 425*a61a35e0Sssszwic codeArray.io.r.req.bits.apply(setIdx= setIdx) 426afed18b5SJenius } 427*a61a35e0Sssszwic // SRAM write logic 428*a61a35e0Sssszwic codeArray.io.w.req.valid := io.write.valid 429*a61a35e0Sssszwic codeArray.io.w.req.bits.apply( 430*a61a35e0Sssszwic data = write_code_bits(i), 431*a61a35e0Sssszwic setIdx = io.write.bits.virIdx, 432*a61a35e0Sssszwic waymask = io.write.bits.waymask 433*a61a35e0Sssszwic ) 434b37bce8eSJinYue codeArray 435b37bce8eSJinYue } 436afed18b5SJenius 437*a61a35e0Sssszwic /** 438*a61a35e0Sssszwic ****************************************************************************** 439*a61a35e0Sssszwic * read logic 440*a61a35e0Sssszwic ****************************************************************************** 441*a61a35e0Sssszwic */ 442*a61a35e0Sssszwic val isDoubleLineReg = RegEnable(io.read.bits.last.isDoubleLine, io.read.fire) 443*a61a35e0Sssszwic val read_data_bits = Wire(Vec(2,Vec(nWays,UInt(halfBlockBits.W)))) 444*a61a35e0Sssszwic val read_code_bits = Wire(Vec(2,Vec(nWays,UInt(codeBits.W)))) 44519d62fa1SJenius 446*a61a35e0Sssszwic (0 until nWays).map { w => 447*a61a35e0Sssszwic // first data 448*a61a35e0Sssszwic read_data_bits(0)(w) := Mux(isDoubleLineReg, 449*a61a35e0Sssszwic dataArrays(w/pWay)(1).io.r.resp.asTypeOf(Vec(pWay, UInt(halfBlockBits.W)))(w%pWay), 450*a61a35e0Sssszwic dataArrays(w/pWay)(0).io.r.resp.asTypeOf(Vec(pWay, UInt(halfBlockBits.W)))(w%pWay)) 451*a61a35e0Sssszwic // second data 452*a61a35e0Sssszwic read_data_bits(1)(w) := Mux(isDoubleLineReg, 453*a61a35e0Sssszwic dataArrays(w/pWay)(0).io.r.resp.asTypeOf(Vec(pWay, UInt(halfBlockBits.W)))(w%pWay), 454*a61a35e0Sssszwic dataArrays(w/pWay)(1).io.r.resp.asTypeOf(Vec(pWay, UInt(halfBlockBits.W)))(w%pWay)) 455adc7b752SJenius } 456*a61a35e0Sssszwic // first data code 457*a61a35e0Sssszwic read_code_bits(0) := Mux(isDoubleLineReg, 458*a61a35e0Sssszwic codeArrays(1).io.r.resp.asTypeOf(Vec(nWays, UInt(codeBits.W))), 459*a61a35e0Sssszwic codeArrays(0).io.r.resp.asTypeOf(Vec(nWays, UInt(codeBits.W)))) 460*a61a35e0Sssszwic // second data code 461*a61a35e0Sssszwic read_code_bits(1) := Mux(isDoubleLineReg, 462*a61a35e0Sssszwic codeArrays(0).io.r.resp.asTypeOf(Vec(nWays, UInt(codeBits.W))), 463*a61a35e0Sssszwic codeArrays(1).io.r.resp.asTypeOf(Vec(nWays, UInt(codeBits.W)))) 46479b191f7SJay 465c157cf71SGuokai Chen if (ICacheECCForceError) { 466*a61a35e0Sssszwic read_code_bits.foreach(_.foreach(_ := 0.U)) // force ecc to fail 467c157cf71SGuokai Chen } 468c157cf71SGuokai Chen 469*a61a35e0Sssszwic /** 470*a61a35e0Sssszwic ****************************************************************************** 471*a61a35e0Sssszwic * IO 472*a61a35e0Sssszwic ****************************************************************************** 473*a61a35e0Sssszwic */ 474*a61a35e0Sssszwic io.readResp.datas := read_data_bits 475*a61a35e0Sssszwic io.readResp.codes := read_code_bits 4761d8f4dcbSJay io.write.ready := true.B 477*a61a35e0Sssszwic io.read.ready := !io.write.valid && 478*a61a35e0Sssszwic dataArrays.map(_.map(_.io.r.req.ready).reduce(_&&_)).reduce(_&&_) && 479*a61a35e0Sssszwic codeArrays.map(_.io.r.req.ready).reduce(_&&_) 4801d8f4dcbSJay} 4811d8f4dcbSJay 4821d8f4dcbSJay 4831d8f4dcbSJayclass ICacheIO(implicit p: Parameters) extends ICacheBundle 4841d8f4dcbSJay{ 48541cb8b61SJenius val hartId = Input(UInt(8.W)) 4867052722fSJay val prefetch = Flipped(new FtqPrefechBundle) 4871d8f4dcbSJay val stop = Input(Bool()) 488c5c5edaeSJenius val fetch = new ICacheMainPipeBundle 48950780602SJenius val toIFU = Output(Bool()) 4900c26d810Sguohongyu val pmp = Vec(PortNumber + prefetchPipeNum, new ICachePMPBundle) 4910c26d810Sguohongyu val itlb = Vec(PortNumber + prefetchPipeNum, new TlbRequestIO) 4921d8f4dcbSJay val perfInfo = Output(new ICachePerfInfo) 49358dbdfc2SJay val error = new L1CacheErrorInfo 494ecccf78fSJay /* Cache Instruction */ 495ecccf78fSJay val csr = new L1CacheToCsrIO 496ecccf78fSJay /* CSR control signal */ 497ecccf78fSJay val csr_pf_enable = Input(Bool()) 498ecccf78fSJay val csr_parity_enable = Input(Bool()) 4992a6078bfSguohongyu val fencei = Input(Bool()) 5001d8f4dcbSJay} 5011d8f4dcbSJay 5021d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters { 50395e60e55STang Haojin override def shouldBeInlined: Boolean = false 5041d8f4dcbSJay 5051d8f4dcbSJay val clientParameters = TLMasterPortParameters.v1( 5061d8f4dcbSJay Seq(TLMasterParameters.v1( 5071d8f4dcbSJay name = "icache", 50858c354d0Sssszwic sourceId = IdRange(0, cacheParams.nMissEntries + 1), 5091d8f4dcbSJay )), 5101d8f4dcbSJay requestFields = cacheParams.reqFields, 5111d8f4dcbSJay echoFields = cacheParams.echoFields 5121d8f4dcbSJay ) 5131d8f4dcbSJay 5141d8f4dcbSJay val clientNode = TLClientNode(Seq(clientParameters)) 5151d8f4dcbSJay 5161d8f4dcbSJay lazy val module = new ICacheImp(this) 5171d8f4dcbSJay} 5181d8f4dcbSJay 5191ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents { 5201d8f4dcbSJay val io = IO(new ICacheIO) 5211d8f4dcbSJay 5227052722fSJay println("ICache:") 5237052722fSJay println(" ICacheSets: " + cacheParams.nSets) 5247052722fSJay println(" ICacheWays: " + cacheParams.nWays) 5257052722fSJay println(" ICacheBanks: " + PortNumber) 52658c354d0Sssszwic 52758c354d0Sssszwic println(" enableICachePrefetch: " + cacheParams.enableICachePrefetch) 52858c354d0Sssszwic println(" prefetchToL1: " + cacheParams.prefetchToL1) 52934f9624dSguohongyu println(" prefetchPipeNum: " + cacheParams.prefetchPipeNum) 53058c354d0Sssszwic println(" nPrefetchEntries: " + cacheParams.nPrefetchEntries) 53158c354d0Sssszwic println(" nPrefBufferEntries: " + cacheParams.nPrefBufferEntries) 53258c354d0Sssszwic println(" maxIPFMoveConf: " + cacheParams.maxIPFMoveConf) 5337052722fSJay 5341d8f4dcbSJay val (bus, edge) = outer.clientNode.out.head 5351d8f4dcbSJay 5361d8f4dcbSJay val metaArray = Module(new ICacheMetaArray) 5371d8f4dcbSJay val dataArray = Module(new ICacheDataArray) 5389de78046Sssszwic val prefetchMetaArray = Module(new ICacheMetaArrayNoBanked) 5392a25dbb4SJay val mainPipe = Module(new ICacheMainPipe) 5401d8f4dcbSJay val missUnit = Module(new ICacheMissUnit(edge)) 541cb6e5d3cSssszwic val fdipPrefetch = Module(new FDIPPrefetch(edge)) 5421d8f4dcbSJay 543cb6e5d3cSssszwic fdipPrefetch.io.hartId := io.hartId 544cb6e5d3cSssszwic fdipPrefetch.io.fencei := io.fencei 545cb6e5d3cSssszwic fdipPrefetch.io.ftqReq <> io.prefetch 5469de78046Sssszwic fdipPrefetch.io.metaReadReq <> prefetchMetaArray.io.read 5479de78046Sssszwic fdipPrefetch.io.metaReadResp <> prefetchMetaArray.io.readResp 54858c354d0Sssszwic fdipPrefetch.io.ICacheMissUnitInfo <> missUnit.io.ICacheMissUnitInfo 54958c354d0Sssszwic fdipPrefetch.io.ICacheMainPipeInfo <> mainPipe.io.ICacheMainPipeInfo 550cb6e5d3cSssszwic fdipPrefetch.io.IPFBufferRead <> mainPipe.io.IPFBufferRead 551cb6e5d3cSssszwic fdipPrefetch.io.IPFReplacer <> mainPipe.io.IPFReplacer 552cb6e5d3cSssszwic fdipPrefetch.io.PIQRead <> mainPipe.io.PIQRead 55358c354d0Sssszwic fdipPrefetch.io.metaWrite <> DontCare 55458c354d0Sssszwic fdipPrefetch.io.dataWrite <> DontCare 555cb6e5d3cSssszwic 556cb6e5d3cSssszwic // Meta Array. Priority: missUnit > fdipPrefetch 55758c354d0Sssszwic if (prefetchToL1) { 558b1ded4e8Sguohongyu val meta_write_arb = Module(new Arbiter(new ICacheMetaWriteBundle(), 2)) 5599442775eSguohongyu meta_write_arb.io.in(0) <> missUnit.io.meta_write 560cb6e5d3cSssszwic meta_write_arb.io.in(1) <> fdipPrefetch.io.metaWrite 561cb6e5d3cSssszwic meta_write_arb.io.out <> metaArray.io.write 56258c354d0Sssszwic // prefetch Meta Array. Connect meta_write_arb to ensure the data is same as metaArray 56358c354d0Sssszwic prefetchMetaArray.io.write <> meta_write_arb.io.out 56458c354d0Sssszwic } else { 56558c354d0Sssszwic missUnit.io.meta_write <> metaArray.io.write 56658c354d0Sssszwic missUnit.io.meta_write <> prefetchMetaArray.io.write 56758c354d0Sssszwic // ensure together wirte to metaArray and prefetchMetaArray 56858c354d0Sssszwic missUnit.io.meta_write.ready := metaArray.io.write.ready && prefetchMetaArray.io.write.ready 56958c354d0Sssszwic } 570cb6e5d3cSssszwic 571cb6e5d3cSssszwic // Data Array. Priority: missUnit > fdipPrefetch 57258c354d0Sssszwic if (prefetchToL1) { 573cb6e5d3cSssszwic val data_write_arb = Module(new Arbiter(new ICacheDataWriteBundle(), 2)) 574b1ded4e8Sguohongyu data_write_arb.io.in(0) <> missUnit.io.data_write 575cb6e5d3cSssszwic data_write_arb.io.in(1) <> fdipPrefetch.io.dataWrite 576cb6e5d3cSssszwic data_write_arb.io.out <> dataArray.io.write 57758c354d0Sssszwic } else { 57858c354d0Sssszwic missUnit.io.data_write <> dataArray.io.write 57958c354d0Sssszwic } 580fd16c454SJenius 581cb6e5d3cSssszwic mainPipe.io.dataArray.toIData <> dataArray.io.read 582cb6e5d3cSssszwic mainPipe.io.dataArray.fromIData <> dataArray.io.readResp 583cb6e5d3cSssszwic mainPipe.io.metaArray.toIMeta <> metaArray.io.read 584cb6e5d3cSssszwic mainPipe.io.metaArray.fromIMeta <> metaArray.io.readResp 585cb6e5d3cSssszwic mainPipe.io.metaArray.fromIMeta <> metaArray.io.readResp 586cb6e5d3cSssszwic mainPipe.io.respStall := io.stop 587ecccf78fSJay mainPipe.io.csr_parity_enable := io.csr_parity_enable 588cb6e5d3cSssszwic mainPipe.io.hartId := io.hartId 5897052722fSJay 59061e1db30SJay io.pmp(0) <> mainPipe.io.pmp(0) 59161e1db30SJay io.pmp(1) <> mainPipe.io.pmp(1) 592cb6e5d3cSssszwic io.pmp(2) <> fdipPrefetch.io.pmp 5937052722fSJay 59491df15e5SJay io.itlb(0) <> mainPipe.io.itlb(0) 5957052722fSJay io.itlb(1) <> mainPipe.io.itlb(1) 596cb6e5d3cSssszwic io.itlb(2) <> fdipPrefetch.io.iTLBInter 5977052722fSJay 598cb6e5d3cSssszwic //notify IFU that Icache pipeline is available 599cb6e5d3cSssszwic io.toIFU := mainPipe.io.fetch.req.ready 600cb6e5d3cSssszwic io.perfInfo := mainPipe.io.perfInfo 6011d8f4dcbSJay 602c5c5edaeSJenius io.fetch.resp <> mainPipe.io.fetch.resp 603d2b20d1aSTang Haojin io.fetch.topdownIcacheMiss := mainPipe.io.fetch.topdownIcacheMiss 604d2b20d1aSTang Haojin io.fetch.topdownItlbMiss := mainPipe.io.fetch.topdownItlbMiss 605c5c5edaeSJenius 606c5c5edaeSJenius for(i <- 0 until PortNumber){ 6072a25dbb4SJay missUnit.io.req(i) <> mainPipe.io.mshr(i).toMSHR 6082a25dbb4SJay mainPipe.io.mshr(i).fromMSHR <> missUnit.io.resp(i) 6091d8f4dcbSJay } 6101d8f4dcbSJay 61141cb8b61SJenius missUnit.io.hartId := io.hartId 612cb6e5d3cSssszwic missUnit.io.fencei := io.fencei 613cb6e5d3cSssszwic missUnit.io.fdip_acquire <> fdipPrefetch.io.mem_acquire 614cb6e5d3cSssszwic missUnit.io.fdip_grant <> fdipPrefetch.io.mem_grant 61500240ba6SJay 6161d8f4dcbSJay bus.b.ready := false.B 6171d8f4dcbSJay bus.c.valid := false.B 6181d8f4dcbSJay bus.c.bits := DontCare 6191d8f4dcbSJay bus.e.valid := false.B 6201d8f4dcbSJay bus.e.bits := DontCare 6211d8f4dcbSJay 6221d8f4dcbSJay bus.a <> missUnit.io.mem_acquire 6231d8f4dcbSJay 6241d8f4dcbSJay // connect bus d 6251d8f4dcbSJay missUnit.io.mem_grant.valid := false.B 6261d8f4dcbSJay missUnit.io.mem_grant.bits := DontCare 6271d8f4dcbSJay 62858dbdfc2SJay //Parity error port 6294da04e5bSguohongyu val errors = mainPipe.io.errors 6300f59c834SWilliam Wang io.error <> RegNext(Mux1H(errors.map(e => e.valid -> e))) 63158dbdfc2SJay 6322a25dbb4SJay 6334da04e5bSguohongyu mainPipe.io.fetch.req <> io.fetch.req 6341d8f4dcbSJay bus.d.ready := false.B 6351d8f4dcbSJay missUnit.io.mem_grant <> bus.d 6361d8f4dcbSJay 6372a6078bfSguohongyu // fencei connect 6382a6078bfSguohongyu metaArray.io.fencei := io.fencei 639cb6e5d3cSssszwic prefetchMetaArray.io.fencei := io.fencei 6402a6078bfSguohongyu 6411d8f4dcbSJay val perfEvents = Seq( 6421d8f4dcbSJay ("icache_miss_cnt ", false.B), 6439a128342SHaoyuan Feng ("icache_miss_penalty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)), 6441d8f4dcbSJay ) 6451ca0e4f3SYinan Xu generatePerfEvent() 6461d8f4dcbSJay 6471d8f4dcbSJay // Customized csr cache op support 6481d8f4dcbSJay val cacheOpDecoder = Module(new CSRCacheOpDecoder("icache", CacheInstrucion.COP_ID_ICACHE)) 6491d8f4dcbSJay cacheOpDecoder.io.csr <> io.csr 6501d8f4dcbSJay dataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 6511d8f4dcbSJay metaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 652cb6e5d3cSssszwic prefetchMetaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 6531d8f4dcbSJay cacheOpDecoder.io.cache.resp.valid := 6541d8f4dcbSJay dataArray.io.cacheOp.resp.valid || 6551d8f4dcbSJay metaArray.io.cacheOp.resp.valid 6561d8f4dcbSJay cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 6571d8f4dcbSJay dataArray.io.cacheOp.resp.valid -> dataArray.io.cacheOp.resp.bits, 6581d8f4dcbSJay metaArray.io.cacheOp.resp.valid -> metaArray.io.cacheOp.resp.bits, 6591d8f4dcbSJay )) 6609ef181f4SWilliam Wang cacheOpDecoder.io.error := io.error 6611d8f4dcbSJay assert(!((dataArray.io.cacheOp.resp.valid +& metaArray.io.cacheOp.resp.valid) > 1.U)) 662adc7b752SJenius} 663adc7b752SJenius 664adc7b752SJeniusclass ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) 665adc7b752SJenius extends ICacheBundle 666adc7b752SJenius{ 667adc7b752SJenius val req = Flipped(Vec(PortNumber, Decoupled(new Bundle{ 668adc7b752SJenius val ridx = UInt((log2Ceil(nSets) - 1).W) 669adc7b752SJenius }))) 670adc7b752SJenius val resp = Output(new Bundle{ 671adc7b752SJenius val rdata = Vec(PortNumber,Vec(pWay, gen)) 672adc7b752SJenius }) 673adc7b752SJenius} 674adc7b752SJenius 675adc7b752SJeniusclass ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) 676adc7b752SJenius extends ICacheBundle 677adc7b752SJenius{ 678adc7b752SJenius val wdata = gen 679adc7b752SJenius val widx = UInt((log2Ceil(nSets) - 1).W) 680adc7b752SJenius val wbankidx = Bool() 681adc7b752SJenius val wmask = Vec(pWay, Bool()) 682adc7b752SJenius} 683adc7b752SJenius 684adc7b752SJeniusclass ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray 685adc7b752SJenius{ 686adc7b752SJenius 687adc7b752SJenius //including part way data 688adc7b752SJenius val io = IO{new Bundle { 689adc7b752SJenius val read = new ICachePartWayReadBundle(gen,pWay) 690adc7b752SJenius val write = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay))) 691adc7b752SJenius }} 692adc7b752SJenius 69336638515SEaston Man io.read.req.map(_.ready := !io.write.valid) 694adc7b752SJenius 695adc7b752SJenius val srams = (0 until PortNumber) map { bank => 696adc7b752SJenius val sramBank = Module(new SRAMTemplate( 69736638515SEaston Man gen, 698adc7b752SJenius set=nSets/2, 699adc7b752SJenius way=pWay, 700adc7b752SJenius shouldReset = true, 701adc7b752SJenius holdRead = true, 702adc7b752SJenius singlePort = true 703adc7b752SJenius )) 70436638515SEaston Man 705adc7b752SJenius sramBank.io.r.req.valid := io.read.req(bank).valid 706adc7b752SJenius sramBank.io.r.req.bits.apply(setIdx= io.read.req(bank).bits.ridx) 70736638515SEaston Man 70836638515SEaston Man if(bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx 70936638515SEaston Man else sramBank.io.w.req.valid := io.write.valid && io.write.bits.wbankidx 71036638515SEaston Man sramBank.io.w.req.bits.apply(data=io.write.bits.wdata, setIdx=io.write.bits.widx, waymask=io.write.bits.wmask.asUInt) 71136638515SEaston Man 712adc7b752SJenius sramBank 713adc7b752SJenius } 714adc7b752SJenius 71536638515SEaston Man io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_&&_)) 716adc7b752SJenius 71736638515SEaston Man io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay,gen)))) 71836638515SEaston Man 7191d8f4dcbSJay} 720