xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICache.scala (revision 76b0dfef2b1ff7a8c7a0bacdbf04da9d9a35537e)
11d8f4dcbSJay/***************************************************************************************
21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory
41d8f4dcbSJay*
51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2.
61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2.
71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at:
81d8f4dcbSJay*          http://license.coscl.org.cn/MulanPSL2
91d8f4dcbSJay*
101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
131d8f4dcbSJay*
141d8f4dcbSJay* See the Mulan PSL v2 for more details.
151d8f4dcbSJay***************************************************************************************/
161d8f4dcbSJay
171d8f4dcbSJaypackage  xiangshan.frontend.icache
181d8f4dcbSJay
191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters
201d8f4dcbSJayimport chisel3._
21adc7b752SJeniusimport chisel3.util.{DecoupledIO, _}
221d8f4dcbSJayimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes}
231d8f4dcbSJayimport freechips.rocketchip.tilelink._
241d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase
2515ee59e4Swakafaimport coupledL2.{AliasField, DirtyField, PrefetchField}
261d8f4dcbSJayimport xiangshan._
271d8f4dcbSJayimport xiangshan.frontend._
281d8f4dcbSJayimport xiangshan.cache._
293c02ee8fSwakafaimport utils._
303c02ee8fSwakafaimport utility._
317052722fSJayimport xiangshan.backend.fu.PMPReqBundle
32f1fe8698SLemoverimport xiangshan.cache.mmu.{TlbRequestIO, TlbReq}
3334f9624dSguohongyuimport difftest._
341d8f4dcbSJay
351d8f4dcbSJaycase class ICacheParameters(
361d8f4dcbSJay    nSets: Int = 256,
37*76b0dfefSGuokai Chen    nWays: Int = 4,
381d8f4dcbSJay    rowBits: Int = 64,
391d8f4dcbSJay    nTLBEntries: Int = 32,
401d8f4dcbSJay    tagECC: Option[String] = None,
411d8f4dcbSJay    dataECC: Option[String] = None,
421d8f4dcbSJay    replacer: Option[String] = Some("random"),
431d8f4dcbSJay    nMissEntries: Int = 2,
4400240ba6SJay    nReleaseEntries: Int = 1,
451d8f4dcbSJay    nProbeEntries: Int = 2,
46cb93f2f2Sguohongyu    nPrefetchEntries: Int = 12,
479bba777eSssszwic    nPrefBufferEntries: Int = 32,
480c26d810Sguohongyu    prefetchPipeNum: Int = 2,
49cb93f2f2Sguohongyu    hasPrefetch: Boolean = true,
501d8f4dcbSJay    nMMIOs: Int = 1,
511d8f4dcbSJay    blockBytes: Int = 64
521d8f4dcbSJay)extends L1CacheParameters {
531d8f4dcbSJay
541d8f4dcbSJay  val setBytes = nSets * blockBytes
55cb93f2f2Sguohongyu  val aliasBitsOpt = DCacheParameters().aliasBitsOpt //if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None
561d8f4dcbSJay  val reqFields: Seq[BundleFieldBase] = Seq(
57d2b20d1aSTang Haojin    PrefetchField(),
58d2b20d1aSTang Haojin    ReqSourceField()
591d8f4dcbSJay  ) ++ aliasBitsOpt.map(AliasField)
6015ee59e4Swakafa  val echoFields: Seq[BundleFieldBase] = Nil
611d8f4dcbSJay  def tagCode: Code = Code.fromString(tagECC)
621d8f4dcbSJay  def dataCode: Code = Code.fromString(dataECC)
631d8f4dcbSJay  def replacement = ReplacementPolicy.fromString(replacer,nWays,nSets)
641d8f4dcbSJay}
651d8f4dcbSJay
661d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst{
671d8f4dcbSJay  val cacheParams = icacheParameters
6842cfa32cSJinYue  val dataCodeUnit = 16
69b37bce8eSJinYue  val dataCodeUnitNum  = blockBits/dataCodeUnit
701d8f4dcbSJay
711d8f4dcbSJay  def highestIdxBit = log2Ceil(nSets) - 1
72b37bce8eSJinYue  def encDataUnitBits   = cacheParams.dataCode.width(dataCodeUnit)
73b37bce8eSJinYue  def dataCodeBits      = encDataUnitBits - dataCodeUnit
74b37bce8eSJinYue  def dataCodeEntryBits = dataCodeBits * dataCodeUnitNum
751d8f4dcbSJay
761d8f4dcbSJay  val ICacheSets = cacheParams.nSets
771d8f4dcbSJay  val ICacheWays = cacheParams.nWays
781d8f4dcbSJay
791d8f4dcbSJay  val ICacheSameVPAddrLength = 12
802a25dbb4SJay  val ReplaceIdWid = 5
811d8f4dcbSJay
821d8f4dcbSJay  val ICacheWordOffset = 0
831d8f4dcbSJay  val ICacheSetOffset = ICacheWordOffset + log2Up(blockBytes)
841d8f4dcbSJay  val ICacheAboveIndexOffset = ICacheSetOffset + log2Up(ICacheSets)
851d8f4dcbSJay  val ICacheTagOffset = ICacheAboveIndexOffset min ICacheSameVPAddrLength
861d8f4dcbSJay
871d8f4dcbSJay  def PortNumber = 2
881d8f4dcbSJay
89*76b0dfefSGuokai Chen  def partWayNum = 2
90adc7b752SJenius  def pWay = nWays/partWayNum
91adc7b752SJenius
927052722fSJay  def nPrefetchEntries = cacheParams.nPrefetchEntries
93974a902cSguohongyu  def totalMSHRNum = PortNumber + nPrefetchEntries
94b1ded4e8Sguohongyu  def nIPFBufferSize   = cacheParams.nPrefBufferEntries
95b1ded4e8Sguohongyu  def maxIPFMoveConf   = 1 // temporary use small value to cause more "move" operation
960c26d810Sguohongyu  def prefetchPipeNum = ICacheParameters().prefetchPipeNum
971d8f4dcbSJay
98adc7b752SJenius  def getBits(num: Int) = log2Ceil(num).W
99adc7b752SJenius
100adc7b752SJenius
1012a25dbb4SJay  def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = {
1022a25dbb4SJay    val valid  = RegInit(false.B)
1032a25dbb4SJay    when(thisFlush)                    {valid  := false.B}
1042a25dbb4SJay      .elsewhen(lastFire && !lastFlush)  {valid  := true.B}
1052a25dbb4SJay      .elsewhen(thisFire)                 {valid  := false.B}
1062a25dbb4SJay    valid
1072a25dbb4SJay  }
1082a25dbb4SJay
1092a25dbb4SJay  def ResultHoldBypass[T<:Data](data: T, valid: Bool): T = {
1102a25dbb4SJay    Mux(valid, data, RegEnable(data, valid))
1112a25dbb4SJay  }
1122a25dbb4SJay
113b1ded4e8Sguohongyu  def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool ={
114b1ded4e8Sguohongyu    val bit = RegInit(false.B)
115b1ded4e8Sguohongyu    when(flush)                   { bit := false.B  }
116b1ded4e8Sguohongyu      .elsewhen(valid && !release)  { bit := true.B   }
117b1ded4e8Sguohongyu      .elsewhen(release)            { bit := false.B  }
118b1ded4e8Sguohongyu    bit || valid
119b1ded4e8Sguohongyu  }
120b1ded4e8Sguohongyu
1215470b21eSguohongyu  def blockCounter(block: Bool, flush: Bool, threshold: Int): Bool = {
1225470b21eSguohongyu    val counter = RegInit(0.U(log2Up(threshold + 1).W))
1235470b21eSguohongyu    when (block) { counter := counter + 1.U }
1245470b21eSguohongyu    when (flush) { counter := 0.U}
1255470b21eSguohongyu    counter > threshold.U
1265470b21eSguohongyu  }
1275470b21eSguohongyu
1281d8f4dcbSJay  require(isPow2(nSets), s"nSets($nSets) must be pow2")
1291d8f4dcbSJay  require(isPow2(nWays), s"nWays($nWays) must be pow2")
1301d8f4dcbSJay}
1311d8f4dcbSJay
1321d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle
1331d8f4dcbSJay  with HasICacheParameters
1341d8f4dcbSJay
1351d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule
1361d8f4dcbSJay  with HasICacheParameters
1371d8f4dcbSJay
1381d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule
1391d8f4dcbSJay  with HasICacheParameters
1401d8f4dcbSJay
1411d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle {
1421d8f4dcbSJay  val tag = UInt(tagBits.W)
1431d8f4dcbSJay}
1441d8f4dcbSJay
1451d8f4dcbSJayobject ICacheMetadata {
1464da04e5bSguohongyu  def apply(tag: Bits)(implicit p: Parameters) = {
1479442775eSguohongyu    val meta = Wire(new ICacheMetadata)
1481d8f4dcbSJay    meta.tag := tag
1491d8f4dcbSJay    meta
1501d8f4dcbSJay  }
1511d8f4dcbSJay}
1521d8f4dcbSJay
1531d8f4dcbSJay
1541d8f4dcbSJayclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray
1551d8f4dcbSJay{
1564da04e5bSguohongyu  def onReset = ICacheMetadata(0.U)
1571d8f4dcbSJay  val metaBits = onReset.getWidth
1581d8f4dcbSJay  val metaEntryBits = cacheParams.tagCode.width(metaBits)
1591d8f4dcbSJay
1601d8f4dcbSJay  val io=IO{new Bundle{
1611d8f4dcbSJay    val write    = Flipped(DecoupledIO(new ICacheMetaWriteBundle))
162afed18b5SJenius    val read     = Flipped(DecoupledIO(new ICacheReadBundle))
1631d8f4dcbSJay    val readResp = Output(new ICacheMetaRespBundle)
164026615fcSWilliam Wang    val cacheOp  = Flipped(new L1CacheInnerOpIO) // customized cache op port
1652a6078bfSguohongyu    val fencei   = Input(Bool())
1661d8f4dcbSJay  }}
1671d8f4dcbSJay
168afed18b5SJenius  io.read.ready := !io.write.valid
169afed18b5SJenius
170afed18b5SJenius  val port_0_read_0 = io.read.valid  && !io.read.bits.vSetIdx(0)(0)
171afed18b5SJenius  val port_0_read_1 = io.read.valid  &&  io.read.bits.vSetIdx(0)(0)
172afed18b5SJenius  val port_1_read_1  = io.read.valid &&  io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
173afed18b5SJenius  val port_1_read_0  = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
174afed18b5SJenius
175afed18b5SJenius  val port_0_read_0_reg = RegEnable(next = port_0_read_0, enable = io.read.fire())
176afed18b5SJenius  val port_0_read_1_reg = RegEnable(next = port_0_read_1, enable = io.read.fire())
177afed18b5SJenius  val port_1_read_1_reg = RegEnable(next = port_1_read_1, enable = io.read.fire())
178afed18b5SJenius  val port_1_read_0_reg = RegEnable(next = port_1_read_0, enable = io.read.fire())
179afed18b5SJenius
180afed18b5SJenius  val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
181afed18b5SJenius  val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
182afed18b5SJenius  val bank_idx   = Seq(bank_0_idx, bank_1_idx)
183afed18b5SJenius
184afed18b5SJenius  val write_bank_0 = io.write.valid && !io.write.bits.bankIdx
185afed18b5SJenius  val write_bank_1 = io.write.valid &&  io.write.bits.bankIdx
1861d8f4dcbSJay
1871d8f4dcbSJay  val write_meta_bits = Wire(UInt(metaEntryBits.W))
1881d8f4dcbSJay
189afed18b5SJenius  val tagArrays = (0 until 2) map { bank =>
190afed18b5SJenius    val tagArray = Module(new SRAMTemplate(
1911d8f4dcbSJay      UInt(metaEntryBits.W),
192afed18b5SJenius      set=nSets/2,
193afed18b5SJenius      way=nWays,
194afed18b5SJenius      shouldReset = true,
195afed18b5SJenius      holdRead = true,
196afed18b5SJenius      singlePort = true
1971d8f4dcbSJay    ))
1981d8f4dcbSJay
199afed18b5SJenius    //meta connection
200afed18b5SJenius    if(bank == 0) {
201afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0
202afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1))
203afed18b5SJenius      tagArray.io.w.req.valid := write_bank_0
204afed18b5SJenius      tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
205afed18b5SJenius    }
206afed18b5SJenius    else {
207afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1
208afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1))
209afed18b5SJenius      tagArray.io.w.req.valid := write_bank_1
210afed18b5SJenius      tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
211afed18b5SJenius    }
2121d8f4dcbSJay
2131d8f4dcbSJay    tagArray
2141d8f4dcbSJay  }
215b37bce8eSJinYue
21660672d5eSguohongyu  val read_set_idx_next = RegEnable(next = io.read.bits.vSetIdx, enable = io.read.fire)
2179442775eSguohongyu  val valid_array = RegInit(VecInit(Seq.fill(nWays)(0.U(nSets.W))))
21860672d5eSguohongyu  val valid_metas = Wire(Vec(PortNumber, Vec(nWays, Bool())))
21960672d5eSguohongyu  // valid read
22060672d5eSguohongyu  (0 until PortNumber).foreach( i =>
22160672d5eSguohongyu    (0 until nWays).foreach( way =>
22260672d5eSguohongyu      valid_metas(i)(way) := valid_array(way)(read_set_idx_next(i))
22360672d5eSguohongyu    ))
22460672d5eSguohongyu  io.readResp.entryValid := valid_metas
22560672d5eSguohongyu
2262a6078bfSguohongyu  io.read.ready := !io.write.valid && !io.fencei && tagArrays.map(_.io.r.req.ready).reduce(_&&_)
227afed18b5SJenius
228afed18b5SJenius  //Parity Decode
2291d8f4dcbSJay  val read_metas = Wire(Vec(2,Vec(nWays,new ICacheMetadata())))
230afed18b5SJenius  for((tagArray,i) <- tagArrays.zipWithIndex){
231afed18b5SJenius    val read_meta_bits = tagArray.io.r.resp.asTypeOf(Vec(nWays,UInt(metaEntryBits.W)))
2321d8f4dcbSJay    val read_meta_decoded = read_meta_bits.map{ way_bits => cacheParams.tagCode.decode(way_bits)}
2331d8f4dcbSJay    val read_meta_wrong = read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.error}
2341d8f4dcbSJay    val read_meta_corrected = VecInit(read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.corrected})
235afed18b5SJenius    read_metas(i) := read_meta_corrected.asTypeOf(Vec(nWays,new ICacheMetadata()))
236afed18b5SJenius    (0 until nWays).map{ w => io.readResp.errors(i)(w) := RegNext(read_meta_wrong(w)) && RegNext(RegNext(io.read.fire))}
2371d8f4dcbSJay  }
238afed18b5SJenius
239afed18b5SJenius  //Parity Encode
240afed18b5SJenius  val write = io.write.bits
2414da04e5bSguohongyu  write_meta_bits := cacheParams.tagCode.encode(ICacheMetadata(tag = write.phyTag).asUInt)
242afed18b5SJenius
24360672d5eSguohongyu  // valid write
24460672d5eSguohongyu  val way_num = OHToUInt(io.write.bits.waymask)
24560672d5eSguohongyu  when (io.write.valid) {
2469442775eSguohongyu    valid_array(way_num) := valid_array(way_num).bitSet(io.write.bits.virIdx, true.B)
24760672d5eSguohongyu  }
2481d8f4dcbSJay
2499442775eSguohongyu  XSPerfAccumulate("meta_refill_num", io.write.valid)
2509442775eSguohongyu
2511d8f4dcbSJay  io.readResp.metaData <> DontCare
2521d8f4dcbSJay  when(port_0_read_0_reg){
2531d8f4dcbSJay    io.readResp.metaData(0) := read_metas(0)
2541d8f4dcbSJay  }.elsewhen(port_0_read_1_reg){
2551d8f4dcbSJay    io.readResp.metaData(0) := read_metas(1)
2561d8f4dcbSJay  }
2571d8f4dcbSJay
2581d8f4dcbSJay  when(port_1_read_0_reg){
2591d8f4dcbSJay    io.readResp.metaData(1) := read_metas(0)
2601d8f4dcbSJay  }.elsewhen(port_1_read_1_reg){
2611d8f4dcbSJay    io.readResp.metaData(1) := read_metas(1)
2621d8f4dcbSJay  }
2631d8f4dcbSJay
264afed18b5SJenius
2650c26d810Sguohongyu  io.write.ready := true.B // TODO : has bug ? should be !io.cacheOp.req.valid
2661d8f4dcbSJay  // deal with customized cache op
2671d8f4dcbSJay  require(nWays <= 32)
2681d8f4dcbSJay  io.cacheOp.resp.bits := DontCare
2691d8f4dcbSJay  val cacheOpShouldResp = WireInit(false.B)
2701d8f4dcbSJay  when(io.cacheOp.req.valid){
2711d8f4dcbSJay    when(
2721d8f4dcbSJay      CacheInstrucion.isReadTag(io.cacheOp.req.bits.opCode) ||
2731d8f4dcbSJay      CacheInstrucion.isReadTagECC(io.cacheOp.req.bits.opCode)
2741d8f4dcbSJay    ){
2751d8f4dcbSJay      for (i <- 0 until 2) {
276afed18b5SJenius        tagArrays(i).io.r.req.valid := true.B
277afed18b5SJenius        tagArrays(i).io.r.req.bits.apply(setIdx = io.cacheOp.req.bits.index)
2781d8f4dcbSJay      }
2791d8f4dcbSJay      cacheOpShouldResp := true.B
2801d8f4dcbSJay    }
281afed18b5SJenius    when(CacheInstrucion.isWriteTag(io.cacheOp.req.bits.opCode)){
2821d8f4dcbSJay      for (i <- 0 until 2) {
283afed18b5SJenius        tagArrays(i).io.w.req.valid := true.B
284afed18b5SJenius        tagArrays(i).io.w.req.bits.apply(
285afed18b5SJenius          data = io.cacheOp.req.bits.write_tag_low,
286afed18b5SJenius          setIdx = io.cacheOp.req.bits.index,
287afed18b5SJenius          waymask = UIntToOH(io.cacheOp.req.bits.wayNum(4, 0))
288afed18b5SJenius        )
2891d8f4dcbSJay      }
2901d8f4dcbSJay      cacheOpShouldResp := true.B
2911d8f4dcbSJay    }
292afed18b5SJenius    // TODO
293afed18b5SJenius    // when(CacheInstrucion.isWriteTagECC(io.cacheOp.req.bits.opCode)){
294afed18b5SJenius    //   for (i <- 0 until readPorts) {
295afed18b5SJenius    //     array(i).io.ecc_write.valid := true.B
296afed18b5SJenius    //     array(i).io.ecc_write.bits.idx := io.cacheOp.req.bits.index
297afed18b5SJenius    //     array(i).io.ecc_write.bits.way_en := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0))
298afed18b5SJenius    //     array(i).io.ecc_write.bits.ecc := io.cacheOp.req.bits.write_tag_ecc
299afed18b5SJenius    //   }
300afed18b5SJenius    //   cacheOpShouldResp := true.B
301afed18b5SJenius    // }
3021d8f4dcbSJay  }
303afed18b5SJenius  io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp)
304afed18b5SJenius  io.cacheOp.resp.bits.read_tag_low := Mux(io.cacheOp.resp.valid,
305afed18b5SJenius    tagArrays(0).io.r.resp.asTypeOf(Vec(nWays, UInt(tagBits.W)))(io.cacheOp.req.bits.wayNum),
306afed18b5SJenius    0.U
3071d8f4dcbSJay  )
308afed18b5SJenius  io.cacheOp.resp.bits.read_tag_ecc := DontCare // TODO
309afed18b5SJenius  // TODO: deal with duplicated array
3102a6078bfSguohongyu
3112a6078bfSguohongyu  // fencei logic : reset valid_array
3122a6078bfSguohongyu  when (io.fencei) {
3132a6078bfSguohongyu    (0 until nWays).foreach( way =>
3142a6078bfSguohongyu      valid_array(way) := 0.U
3152a6078bfSguohongyu    )
3162a6078bfSguohongyu  }
3171d8f4dcbSJay}
3181d8f4dcbSJay
3191d8f4dcbSJay
320afed18b5SJenius
3211d8f4dcbSJayclass ICacheDataArray(implicit p: Parameters) extends ICacheArray
3221d8f4dcbSJay{
323b37bce8eSJinYue
324b37bce8eSJinYue  def getECCFromEncUnit(encUnit: UInt) = {
325b37bce8eSJinYue    require(encUnit.getWidth == encDataUnitBits)
326e5f1252bSGuokai Chen    if (encDataUnitBits == dataCodeUnit) {
327e5f1252bSGuokai Chen      0.U.asTypeOf(UInt(1.W))
328e5f1252bSGuokai Chen    } else {
329b37bce8eSJinYue      encUnit(encDataUnitBits - 1, dataCodeUnit)
330b37bce8eSJinYue    }
331e5f1252bSGuokai Chen  }
332b37bce8eSJinYue
333b37bce8eSJinYue  def getECCFromBlock(cacheblock: UInt) = {
334b37bce8eSJinYue    // require(cacheblock.getWidth == blockBits)
335b37bce8eSJinYue    VecInit((0 until dataCodeUnitNum).map { w =>
336b37bce8eSJinYue      val unit = cacheblock(dataCodeUnit * (w + 1) - 1, dataCodeUnit * w)
337b37bce8eSJinYue      getECCFromEncUnit(cacheParams.dataCode.encode(unit))
338b37bce8eSJinYue    })
339b37bce8eSJinYue  }
340b37bce8eSJinYue
3411d8f4dcbSJay  val io=IO{new Bundle{
3421d8f4dcbSJay    val write    = Flipped(DecoupledIO(new ICacheDataWriteBundle))
343adc7b752SJenius    val read     = Flipped(DecoupledIO(Vec(partWayNum, new ICacheReadBundle)))
3441d8f4dcbSJay    val readResp = Output(new ICacheDataRespBundle)
345026615fcSWilliam Wang    val cacheOp  = Flipped(new L1CacheInnerOpIO) // customized cache op port
3461d8f4dcbSJay  }}
3471d8f4dcbSJay
348b37bce8eSJinYue  val write_data_bits = Wire(UInt(blockBits.W))
3491d8f4dcbSJay
350adc7b752SJenius  val port_0_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_0, enable = io.read.fire())
351adc7b752SJenius  val port_0_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_1, enable = io.read.fire())
352adc7b752SJenius  val port_1_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_1, enable = io.read.fire())
353adc7b752SJenius  val port_1_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_0, enable = io.read.fire())
354adc7b752SJenius
355adc7b752SJenius  val bank_0_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_0, copy.vSetIdx(0), copy.vSetIdx(1)))
356adc7b752SJenius  val bank_1_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_1, copy.vSetIdx(0), copy.vSetIdx(1)))
357adc7b752SJenius
358adc7b752SJenius  val dataArrays = (0 until partWayNum).map{ i =>
359adc7b752SJenius    val dataArray = Module(new ICachePartWayArray(
360b37bce8eSJinYue      UInt(blockBits.W),
361adc7b752SJenius      pWay,
3621d8f4dcbSJay    ))
3631d8f4dcbSJay
364adc7b752SJenius    dataArray.io.read.req(0).valid :=  io.read.bits(i).read_bank_0 && io.read.valid
365adc7b752SJenius    dataArray.io.read.req(0).bits.ridx := bank_0_idx_vec(i)(highestIdxBit,1)
366adc7b752SJenius    dataArray.io.read.req(1).valid := io.read.bits(i).read_bank_1 && io.read.valid
367adc7b752SJenius    dataArray.io.read.req(1).bits.ridx := bank_1_idx_vec(i)(highestIdxBit,1)
368adc7b752SJenius
369adc7b752SJenius
370adc7b752SJenius    dataArray.io.write.valid         := io.write.valid
371adc7b752SJenius    dataArray.io.write.bits.wdata    := write_data_bits
372adc7b752SJenius    dataArray.io.write.bits.widx     := io.write.bits.virIdx(highestIdxBit,1)
373adc7b752SJenius    dataArray.io.write.bits.wbankidx := io.write.bits.bankIdx
374adc7b752SJenius    dataArray.io.write.bits.wmask    := io.write.bits.waymask.asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
3751d8f4dcbSJay
3761d8f4dcbSJay    dataArray
3771d8f4dcbSJay  }
3781d8f4dcbSJay
379adc7b752SJenius  val read_datas = Wire(Vec(2,Vec(nWays,UInt(blockBits.W) )))
380adc7b752SJenius
381adc7b752SJenius  (0 until PortNumber).map { port =>
382adc7b752SJenius    (0 until nWays).map { w =>
383adc7b752SJenius      read_datas(port)(w) := dataArrays(w / pWay).io.read.resp.rdata(port).asTypeOf(Vec(pWay, UInt(blockBits.W)))(w % pWay)
384adc7b752SJenius    }
385adc7b752SJenius  }
386adc7b752SJenius
387adc7b752SJenius  io.readResp.datas(0) := Mux( port_0_read_1_reg, read_datas(1) , read_datas(0))
388adc7b752SJenius  io.readResp.datas(1) := Mux( port_1_read_0_reg, read_datas(0) , read_datas(1))
389adc7b752SJenius
390adc7b752SJenius
391adc7b752SJenius  val write_data_code = Wire(UInt(dataCodeEntryBits.W))
392afed18b5SJenius  val write_bank_0 = WireInit(io.write.valid && !io.write.bits.bankIdx)
393afed18b5SJenius  val write_bank_1 = WireInit(io.write.valid &&  io.write.bits.bankIdx)
394adc7b752SJenius
395afed18b5SJenius  val bank_0_idx = bank_0_idx_vec.last
396afed18b5SJenius  val bank_1_idx = bank_1_idx_vec.last
397afed18b5SJenius
398afed18b5SJenius  val codeArrays = (0 until 2) map { i =>
399afed18b5SJenius    val codeArray = Module(new SRAMTemplate(
400b37bce8eSJinYue      UInt(dataCodeEntryBits.W),
401afed18b5SJenius      set=nSets/2,
402afed18b5SJenius      way=nWays,
403afed18b5SJenius      shouldReset = true,
404afed18b5SJenius      holdRead = true,
405afed18b5SJenius      singlePort = true
406b37bce8eSJinYue    ))
407b37bce8eSJinYue
408afed18b5SJenius    if(i == 0) {
409afed18b5SJenius      codeArray.io.r.req.valid := io.read.valid && io.read.bits.last.read_bank_0
410afed18b5SJenius      codeArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1))
411afed18b5SJenius      codeArray.io.w.req.valid := write_bank_0
412afed18b5SJenius      codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
413afed18b5SJenius    }
414afed18b5SJenius    else {
415afed18b5SJenius      codeArray.io.r.req.valid := io.read.valid && io.read.bits.last.read_bank_1
416afed18b5SJenius      codeArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1))
417afed18b5SJenius      codeArray.io.w.req.valid := write_bank_1
418afed18b5SJenius      codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
419afed18b5SJenius    }
420b37bce8eSJinYue
421b37bce8eSJinYue    codeArray
422b37bce8eSJinYue  }
423afed18b5SJenius
424adc7b752SJenius  io.read.ready := !io.write.valid &&
425adc7b752SJenius                    dataArrays.map(_.io.read.req.map(_.ready).reduce(_&&_)).reduce(_&&_) &&
426afed18b5SJenius                    codeArrays.map(_.io.r.req.ready).reduce(_ && _)
42719d62fa1SJenius
4281d8f4dcbSJay  //Parity Decode
429b37bce8eSJinYue  val read_codes = Wire(Vec(2,Vec(nWays,UInt(dataCodeEntryBits.W) )))
430afed18b5SJenius  for(((dataArray,codeArray),i) <- dataArrays.zip(codeArrays).zipWithIndex){
431afed18b5SJenius    read_codes(i) := codeArray.io.r.resp.asTypeOf(Vec(nWays,UInt(dataCodeEntryBits.W)))
432adc7b752SJenius  }
43379b191f7SJay
4341d8f4dcbSJay  //Parity Encode
4351d8f4dcbSJay  val write = io.write.bits
436b37bce8eSJinYue  val write_data = WireInit(write.data)
437b37bce8eSJinYue  write_data_code := getECCFromBlock(write_data).asUInt
438b37bce8eSJinYue  write_data_bits := write_data
4391d8f4dcbSJay
44079b191f7SJay  io.readResp.codes(0) := Mux( port_0_read_1_reg, read_codes(1) , read_codes(0))
44179b191f7SJay  io.readResp.codes(1) := Mux( port_1_read_0_reg, read_codes(0) , read_codes(1))
4421d8f4dcbSJay
4431d8f4dcbSJay  io.write.ready := true.B
4441d8f4dcbSJay
4451d8f4dcbSJay  // deal with customized cache op
4461d8f4dcbSJay  require(nWays <= 32)
4471d8f4dcbSJay  io.cacheOp.resp.bits := DontCare
448adc7b752SJenius  io.cacheOp.resp.valid := false.B
4491d8f4dcbSJay  val cacheOpShouldResp = WireInit(false.B)
4501e0378c2SJenius  val dataresp = Wire(Vec(nWays,UInt(blockBits.W) ))
4511e0378c2SJenius  dataresp := DontCare
4521d8f4dcbSJay  when(io.cacheOp.req.valid){
4531d8f4dcbSJay    when(
454adc7b752SJenius      CacheInstrucion.isReadData(io.cacheOp.req.bits.opCode)
4551d8f4dcbSJay    ){
4561e0378c2SJenius      for (i <- 0 until partWayNum) {
4571e0378c2SJenius        dataArrays(i).io.read.req.zipWithIndex.map{ case(port,i) =>
4581e0378c2SJenius          if(i ==0) port.valid     := !io.cacheOp.req.bits.bank_num(0)
4591e0378c2SJenius          else      port.valid     :=  io.cacheOp.req.bits.bank_num(0)
460adc7b752SJenius          port.bits.ridx := io.cacheOp.req.bits.index(highestIdxBit,1)
461adc7b752SJenius        }
462adc7b752SJenius      }
4631e0378c2SJenius      cacheOpShouldResp := dataArrays.head.io.read.req.map(_.fire()).reduce(_||_)
4641e0378c2SJenius      dataresp :=Mux(io.cacheOp.req.bits.bank_num(0).asBool,  read_datas(1),  read_datas(0))
465adc7b752SJenius    }
466adc7b752SJenius    when(CacheInstrucion.isWriteData(io.cacheOp.req.bits.opCode)){
4671e0378c2SJenius      for (i <- 0 until partWayNum) {
468adc7b752SJenius        dataArrays(i).io.write.valid := true.B
469adc7b752SJenius        dataArrays(i).io.write.bits.wdata := io.cacheOp.req.bits.write_data_vec.asTypeOf(write_data.cloneType)
4701e0378c2SJenius        dataArrays(i).io.write.bits.wbankidx := io.cacheOp.req.bits.bank_num(0)
471adc7b752SJenius        dataArrays(i).io.write.bits.widx := io.cacheOp.req.bits.index(highestIdxBit,1)
472adc7b752SJenius        dataArrays(i).io.write.bits.wmask  := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)).asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
473adc7b752SJenius      }
474adc7b752SJenius      cacheOpShouldResp := true.B
475adc7b752SJenius    }
476adc7b752SJenius  }
4771e0378c2SJenius
4781e0378c2SJenius  io.cacheOp.resp.valid := RegNext(cacheOpShouldResp)
4791e0378c2SJenius  val numICacheLineWords = blockBits / 64
4801e0378c2SJenius  require(blockBits >= 64 && isPow2(blockBits))
4811e0378c2SJenius  for (wordIndex <- 0 until numICacheLineWords) {
4821e0378c2SJenius    io.cacheOp.resp.bits.read_data_vec(wordIndex) := dataresp(io.cacheOp.req.bits.wayNum(4, 0))(64*(wordIndex+1)-1, 64*wordIndex)
4831e0378c2SJenius  }
4841e0378c2SJenius
4851d8f4dcbSJay}
4861d8f4dcbSJay
4871d8f4dcbSJay
4881d8f4dcbSJayclass ICacheIO(implicit p: Parameters) extends ICacheBundle
4891d8f4dcbSJay{
49041cb8b61SJenius  val hartId = Input(UInt(8.W))
4917052722fSJay  val prefetch    = Flipped(new FtqPrefechBundle)
4921d8f4dcbSJay  val stop        = Input(Bool())
493c5c5edaeSJenius  val fetch       = new ICacheMainPipeBundle
49450780602SJenius  val toIFU       = Output(Bool())
4950c26d810Sguohongyu  val pmp         = Vec(PortNumber + prefetchPipeNum, new ICachePMPBundle)
4960c26d810Sguohongyu  val itlb        = Vec(PortNumber + prefetchPipeNum, new TlbRequestIO)
4971d8f4dcbSJay  val perfInfo    = Output(new ICachePerfInfo)
49858dbdfc2SJay  val error       = new L1CacheErrorInfo
499ecccf78fSJay  /* Cache Instruction */
500ecccf78fSJay  val csr         = new L1CacheToCsrIO
501ecccf78fSJay  /* CSR control signal */
502ecccf78fSJay  val csr_pf_enable = Input(Bool())
503ecccf78fSJay  val csr_parity_enable = Input(Bool())
5042a6078bfSguohongyu  val fencei      = Input(Bool())
5051d8f4dcbSJay}
5061d8f4dcbSJay
5071d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters {
5081d8f4dcbSJay
5091d8f4dcbSJay  val clientParameters = TLMasterPortParameters.v1(
5101d8f4dcbSJay    Seq(TLMasterParameters.v1(
5111d8f4dcbSJay      name = "icache",
51214fbcd5eSguohongyu      sourceId = IdRange(0, cacheParams.nMissEntries + cacheParams.nPrefetchEntries),
5131d8f4dcbSJay    )),
5141d8f4dcbSJay    requestFields = cacheParams.reqFields,
5151d8f4dcbSJay    echoFields = cacheParams.echoFields
5161d8f4dcbSJay  )
5171d8f4dcbSJay
5181d8f4dcbSJay  val clientNode = TLClientNode(Seq(clientParameters))
5191d8f4dcbSJay
5201d8f4dcbSJay  lazy val module = new ICacheImp(this)
5211d8f4dcbSJay}
5221d8f4dcbSJay
5231ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents {
5241d8f4dcbSJay  val io = IO(new ICacheIO)
5251d8f4dcbSJay
5267052722fSJay  println("ICache:")
5277052722fSJay  println("  ICacheSets: "          + cacheParams.nSets)
5287052722fSJay  println("  ICacheWays: "          + cacheParams.nWays)
5297052722fSJay  println("  ICacheBanks: "         + PortNumber)
5307052722fSJay  println("  hasPrefetch: "         + cacheParams.hasPrefetch)
5317052722fSJay  if(cacheParams.hasPrefetch){
5327052722fSJay    println("  nPrefetchEntries: "         + cacheParams.nPrefetchEntries)
533b1ded4e8Sguohongyu    println("  nPrefetchBufferEntries: " + cacheParams.nPrefBufferEntries)
53434f9624dSguohongyu    println("  prefetchPipeNum: " + cacheParams.prefetchPipeNum)
5357052722fSJay  }
5367052722fSJay
5371d8f4dcbSJay  val (bus, edge) = outer.clientNode.out.head
5381d8f4dcbSJay
5391d8f4dcbSJay  val metaArray      = Module(new ICacheMetaArray)
5400c26d810Sguohongyu  val bankedMetaArray = Module(new ICacheBankedMetaArray(prefetchPipeNum + 1)) // need add 1 port for IPF filter
5411d8f4dcbSJay  val dataArray      = Module(new ICacheDataArray)
5422a25dbb4SJay  val mainPipe       = Module(new ICacheMainPipe)
5431d8f4dcbSJay  val missUnit      = Module(new ICacheMissUnit(edge))
5440c26d810Sguohongyu  val prefetchPipes = (0 until prefetchPipeNum).map( i => Module(new IPrefetchPipe))
545b1ded4e8Sguohongyu  val ipfBuffer  = Module(new PrefetchBuffer)
5461d8f4dcbSJay
547b1ded4e8Sguohongyu  val meta_read_arb   = Module(new Arbiter(new ICacheReadBundle,  1))
5489442775eSguohongyu  val data_read_arb   = Module(new Arbiter(Vec(partWayNum, new ICacheReadBundle),  1))
549b1ded4e8Sguohongyu  val meta_write_arb  = Module(new Arbiter(new ICacheMetaWriteBundle(),  2))
550b1ded4e8Sguohongyu  val data_write_arb = Module(new Arbiter(new ICacheDataWriteBundle(), 2))
5510c26d810Sguohongyu  val prefetch_req_arb = Module(new Arbiter(new PIQReq, prefetchPipeNum))
552b1ded4e8Sguohongyu
553c2ba7c80Sguohongyu  mainPipe.io.hartId := io.hartId
554c2ba7c80Sguohongyu  ipfBuffer.io.hartId := io.hartId
555b1ded4e8Sguohongyu  mainPipe.io.PIQ <> missUnit.io.to_main_pipe
556b1ded4e8Sguohongyu  ipfBuffer.io.read <> mainPipe.io.iprefetchBuf
557b1ded4e8Sguohongyu  meta_write_arb.io.in(1) <> ipfBuffer.io.move.meta_write
558b1ded4e8Sguohongyu  data_write_arb.io.in(1) <> ipfBuffer.io.move.data_write
559b1ded4e8Sguohongyu  mainPipe.io.IPFBufMove <> ipfBuffer.io.replace
5600c26d810Sguohongyu  (0 until prefetchPipeNum).foreach(i => ipfBuffer.io.filter_read(i) <> prefetchPipes(i).io.IPFBufferRead)
5610c26d810Sguohongyu  (0 until prefetchPipeNum).foreach(i => mainPipe.io.missSlotInfo <> prefetchPipes(i).io.mainPipeMissSlotInfo)
562b1ded4e8Sguohongyu  mainPipe.io.mainPipeMissInfo <> ipfBuffer.io.mainpipe_missinfo
563b1ded4e8Sguohongyu
564b1ded4e8Sguohongyu  ipfBuffer.io.write <> missUnit.io.piq_write_ipbuffer
5651d8f4dcbSJay
5669442775eSguohongyu  meta_read_arb.io.in(0)      <> mainPipe.io.metaArray.toIMeta
5671d8f4dcbSJay  metaArray.io.read                     <> meta_read_arb.io.out
5680c26d810Sguohongyu  bankedMetaArray.io.read(0) <> ipfBuffer.io.meta_filter_read_req
5690c26d810Sguohongyu  (0 until prefetchPipeNum).foreach(i => bankedMetaArray.io.read(i + 1) <> prefetchPipes(i).io.toIMeta)
5707052722fSJay
5712a25dbb4SJay  mainPipe.io.metaArray.fromIMeta       <> metaArray.io.readResp
5720c26d810Sguohongyu  ipfBuffer.io.meta_filter_read_resp <> bankedMetaArray.io.readResp(0)
5730c26d810Sguohongyu  (0 until prefetchPipeNum).foreach(i => bankedMetaArray.io.readResp(i + 1) <> prefetchPipes(i).io.fromIMeta)
5741d8f4dcbSJay
5759442775eSguohongyu  data_read_arb.io.in(0)    <> mainPipe.io.dataArray.toIData
5761d8f4dcbSJay  dataArray.io.read                   <> data_read_arb.io.out
5772a25dbb4SJay  mainPipe.io.dataArray.fromIData     <> dataArray.io.readResp
5781d8f4dcbSJay
5792a25dbb4SJay  mainPipe.io.respStall := io.stop
5802a25dbb4SJay  io.perfInfo := mainPipe.io.perfInfo
5811d8f4dcbSJay
5829442775eSguohongyu  meta_write_arb.io.in(0)     <> missUnit.io.meta_write
583b1ded4e8Sguohongyu  data_write_arb.io.in(0)     <> missUnit.io.data_write
5841d8f4dcbSJay
585b1ded4e8Sguohongyu  metaArray.io.write <> meta_write_arb.io.out
5860c26d810Sguohongyu  bankedMetaArray.io.write <> meta_write_arb.io.out
587fd16c454SJenius
588b1ded4e8Sguohongyu  dataArray.io.write <> data_write_arb.io.out
5891d8f4dcbSJay
590ecccf78fSJay  mainPipe.io.csr_parity_enable := io.csr_parity_enable
591ecccf78fSJay
5927052722fSJay  if(cacheParams.hasPrefetch){
59334f9624dSguohongyu    // TODO : perf enhance
59434f9624dSguohongyu    val prefetchPipe_ready_vec = WireInit(VecInit(Seq.fill(prefetchPipeNum)(false.B)))
59534f9624dSguohongyu    val alloc = RegInit(0.U(log2Up(prefetchPipeNum).W))
59634f9624dSguohongyu    alloc := alloc + io.prefetch.req.fire
5970c26d810Sguohongyu    (0 until prefetchPipeNum).foreach(i => {
5980c26d810Sguohongyu      prefetchPipes(i).io.fromFtq.req.valid := io.prefetch.req.valid && i.U === alloc
5990c26d810Sguohongyu      prefetchPipes(i).io.fromFtq.req.bits := io.prefetch.req.bits
60034f9624dSguohongyu      prefetchPipe_ready_vec(i) := prefetchPipes(i).io.fromFtq.req.ready && i.U === alloc
6010c26d810Sguohongyu    })
60234f9624dSguohongyu    io.prefetch.req.ready := prefetchPipe_ready_vec.reduce(_||_)
603ecccf78fSJay    when(!io.csr_pf_enable){
6040c26d810Sguohongyu      (0 until prefetchPipeNum).foreach(i => {
6050c26d810Sguohongyu        prefetchPipes(i).io.fromFtq.req.valid := false.B
6060c26d810Sguohongyu      })
607ecccf78fSJay      io.prefetch.req.ready := true.B
608ecccf78fSJay    }
6097052722fSJay  } else {
6100c26d810Sguohongyu    (0 until prefetchPipeNum).foreach(i => prefetchPipes(i).io.fromFtq <> DontCare)
6117052722fSJay  }
6127052722fSJay
61361e1db30SJay  io.pmp(0) <> mainPipe.io.pmp(0)
61461e1db30SJay  io.pmp(1) <> mainPipe.io.pmp(1)
6150c26d810Sguohongyu  (0 until prefetchPipeNum).foreach(i => io.pmp(2 + i) <> prefetchPipes(i).io.pmp)
6160c26d810Sguohongyu  (0 until prefetchPipeNum).foreach(i => {
6170c26d810Sguohongyu    prefetchPipes(i).io.prefetchEnable := mainPipe.io.prefetchEnable
6180c26d810Sguohongyu    prefetchPipes(i).io.prefetchDisable := mainPipe.io.prefetchDisable
6190c26d810Sguohongyu  })
6207052722fSJay
621a108d429SJay
62250780602SJenius  //notify IFU that Icache pipeline is available
62350780602SJenius  io.toIFU := mainPipe.io.fetch.req.ready
624a108d429SJay
6257052722fSJay
62691df15e5SJay  io.itlb(0)        <>    mainPipe.io.itlb(0)
6277052722fSJay  io.itlb(1)        <>    mainPipe.io.itlb(1)
6280c26d810Sguohongyu  (0 until prefetchPipeNum).foreach(i => io.itlb(2 + i) <> prefetchPipes(i).io.iTLBInter)
6297052722fSJay
6301d8f4dcbSJay
631c5c5edaeSJenius  io.fetch.resp     <>    mainPipe.io.fetch.resp
632d2b20d1aSTang Haojin  io.fetch.topdownIcacheMiss := mainPipe.io.fetch.topdownIcacheMiss
633d2b20d1aSTang Haojin  io.fetch.topdownItlbMiss   := mainPipe.io.fetch.topdownItlbMiss
634c5c5edaeSJenius
635c5c5edaeSJenius  for(i <- 0 until PortNumber){
6362a25dbb4SJay    missUnit.io.req(i)           <>   mainPipe.io.mshr(i).toMSHR
6372a25dbb4SJay    mainPipe.io.mshr(i).fromMSHR <>   missUnit.io.resp(i)
6381d8f4dcbSJay  }
6391d8f4dcbSJay
6400c26d810Sguohongyu  (0 until prefetchPipeNum).foreach(i => prefetch_req_arb.io.in(i) <> prefetchPipes(i).io.toMissUnit.enqReq)
6410c26d810Sguohongyu  missUnit.io.prefetch_req <> prefetch_req_arb.io.out
64241cb8b61SJenius  missUnit.io.hartId       := io.hartId
6430c26d810Sguohongyu  (0 until prefetchPipeNum).foreach(i => {
6440c26d810Sguohongyu    prefetchPipes(i).io.fromMSHR <> missUnit.io.mshr_info
6450c26d810Sguohongyu    prefetchPipes(i).io.fencei := false.B
6460c26d810Sguohongyu    prefetchPipes(i).io.freePIQEntry := missUnit.io.freePIQEntry
6470c26d810Sguohongyu  })
64800240ba6SJay
6491d8f4dcbSJay  bus.b.ready := false.B
6501d8f4dcbSJay  bus.c.valid := false.B
6511d8f4dcbSJay  bus.c.bits  := DontCare
6521d8f4dcbSJay  bus.e.valid := false.B
6531d8f4dcbSJay  bus.e.bits  := DontCare
6541d8f4dcbSJay
6551d8f4dcbSJay  bus.a <> missUnit.io.mem_acquire
6561d8f4dcbSJay
6571d8f4dcbSJay  // connect bus d
6581d8f4dcbSJay  missUnit.io.mem_grant.valid := false.B
6591d8f4dcbSJay  missUnit.io.mem_grant.bits  := DontCare
6601d8f4dcbSJay
66158dbdfc2SJay  //Parity error port
6624da04e5bSguohongyu  val errors = mainPipe.io.errors
6630f59c834SWilliam Wang  io.error <> RegNext(Mux1H(errors.map(e => e.valid -> e)))
66458dbdfc2SJay
6652a25dbb4SJay
6664da04e5bSguohongyu  mainPipe.io.fetch.req <> io.fetch.req
6671d8f4dcbSJay  bus.d.ready := false.B
6681d8f4dcbSJay  missUnit.io.mem_grant <> bus.d
6691d8f4dcbSJay
6702a6078bfSguohongyu  // fencei connect
6712a6078bfSguohongyu  metaArray.io.fencei := io.fencei
6722a6078bfSguohongyu  bankedMetaArray.io.fencei := io.fencei
6732a6078bfSguohongyu  ipfBuffer.io.fencei := io.fencei
6742a6078bfSguohongyu  missUnit.io.fencei := io.fencei
6752a6078bfSguohongyu
6762a6078bfSguohongyu
6771d8f4dcbSJay  val perfEvents = Seq(
6781d8f4dcbSJay    ("icache_miss_cnt  ", false.B),
6791d8f4dcbSJay    ("icache_miss_penty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)),
6801d8f4dcbSJay  )
6811ca0e4f3SYinan Xu  generatePerfEvent()
6821d8f4dcbSJay
6831d8f4dcbSJay  // Customized csr cache op support
6841d8f4dcbSJay  val cacheOpDecoder = Module(new CSRCacheOpDecoder("icache", CacheInstrucion.COP_ID_ICACHE))
6851d8f4dcbSJay  cacheOpDecoder.io.csr <> io.csr
6861d8f4dcbSJay  dataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
6871d8f4dcbSJay  metaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
6880c26d810Sguohongyu  bankedMetaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
6891d8f4dcbSJay  cacheOpDecoder.io.cache.resp.valid :=
6901d8f4dcbSJay    dataArray.io.cacheOp.resp.valid ||
6911d8f4dcbSJay    metaArray.io.cacheOp.resp.valid
6921d8f4dcbSJay  cacheOpDecoder.io.cache.resp.bits := Mux1H(List(
6931d8f4dcbSJay    dataArray.io.cacheOp.resp.valid -> dataArray.io.cacheOp.resp.bits,
6941d8f4dcbSJay    metaArray.io.cacheOp.resp.valid -> metaArray.io.cacheOp.resp.bits,
6951d8f4dcbSJay  ))
6969ef181f4SWilliam Wang  cacheOpDecoder.io.error := io.error
6971d8f4dcbSJay  assert(!((dataArray.io.cacheOp.resp.valid +& metaArray.io.cacheOp.resp.valid) > 1.U))
698adc7b752SJenius
699adc7b752SJenius}
700adc7b752SJenius
701adc7b752SJeniusclass ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
702adc7b752SJenius  extends ICacheBundle
703adc7b752SJenius{
704adc7b752SJenius  val req = Flipped(Vec(PortNumber, Decoupled(new Bundle{
705adc7b752SJenius    val ridx = UInt((log2Ceil(nSets) - 1).W)
706adc7b752SJenius  })))
707adc7b752SJenius  val resp = Output(new Bundle{
708adc7b752SJenius    val rdata  = Vec(PortNumber,Vec(pWay, gen))
709adc7b752SJenius  })
710adc7b752SJenius}
711adc7b752SJenius
712adc7b752SJeniusclass ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
713adc7b752SJenius  extends ICacheBundle
714adc7b752SJenius{
715adc7b752SJenius  val wdata = gen
716adc7b752SJenius  val widx = UInt((log2Ceil(nSets) - 1).W)
717adc7b752SJenius  val wbankidx = Bool()
718adc7b752SJenius  val wmask = Vec(pWay, Bool())
719adc7b752SJenius}
720adc7b752SJenius
721adc7b752SJeniusclass ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray
722adc7b752SJenius{
723adc7b752SJenius
724adc7b752SJenius  //including part way data
725adc7b752SJenius  val io = IO{new Bundle {
726adc7b752SJenius    val read      = new  ICachePartWayReadBundle(gen,pWay)
727adc7b752SJenius    val write     = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay)))
728adc7b752SJenius  }}
729adc7b752SJenius
730adc7b752SJenius  io.read.req.map(_.ready := !io.write.valid)
731adc7b752SJenius
732adc7b752SJenius  val srams = (0 until PortNumber) map { bank =>
733adc7b752SJenius    val sramBank = Module(new SRAMTemplate(
734adc7b752SJenius      gen,
735adc7b752SJenius      set=nSets/2,
736adc7b752SJenius      way=pWay,
737adc7b752SJenius      shouldReset = true,
738adc7b752SJenius      holdRead = true,
739adc7b752SJenius      singlePort = true
740adc7b752SJenius    ))
741adc7b752SJenius
742adc7b752SJenius    sramBank.io.r.req.valid := io.read.req(bank).valid
743adc7b752SJenius    sramBank.io.r.req.bits.apply(setIdx= io.read.req(bank).bits.ridx)
744adc7b752SJenius
745adc7b752SJenius    if(bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx
746adc7b752SJenius    else sramBank.io.w.req.valid := io.write.valid && io.write.bits.wbankidx
747adc7b752SJenius    sramBank.io.w.req.bits.apply(data=io.write.bits.wdata, setIdx=io.write.bits.widx, waymask=io.write.bits.wmask.asUInt())
748adc7b752SJenius
749adc7b752SJenius    sramBank
750adc7b752SJenius  }
751adc7b752SJenius
752adc7b752SJenius  io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_&&_))
753adc7b752SJenius
754adc7b752SJenius  io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay,gen))))
755adc7b752SJenius
7561d8f4dcbSJay}
757