xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICache.scala (revision 50780602f4b92c9abaa470881d254139c155bace)
11d8f4dcbSJay/***************************************************************************************
21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory
41d8f4dcbSJay*
51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2.
61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2.
71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at:
81d8f4dcbSJay*          http://license.coscl.org.cn/MulanPSL2
91d8f4dcbSJay*
101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
131d8f4dcbSJay*
141d8f4dcbSJay* See the Mulan PSL v2 for more details.
151d8f4dcbSJay***************************************************************************************/
161d8f4dcbSJay
171d8f4dcbSJaypackage  xiangshan.frontend.icache
181d8f4dcbSJay
191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters
201d8f4dcbSJayimport chisel3._
21adc7b752SJeniusimport chisel3.util.{DecoupledIO, _}
221d8f4dcbSJayimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes}
231d8f4dcbSJayimport freechips.rocketchip.tilelink._
241d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase
257052722fSJayimport huancun.{AliasField, DirtyField, PreferCacheField, PrefetchField}
261d8f4dcbSJayimport xiangshan._
271d8f4dcbSJayimport xiangshan.frontend._
281d8f4dcbSJayimport xiangshan.cache._
29adc7b752SJeniusimport utils.{SRAMTemplate, _}
307052722fSJayimport xiangshan.backend.fu.PMPReqBundle
31f1fe8698SLemoverimport xiangshan.cache.mmu.{TlbRequestIO, TlbReq}
321d8f4dcbSJay
331d8f4dcbSJaycase class ICacheParameters(
341d8f4dcbSJay    nSets: Int = 256,
351d8f4dcbSJay    nWays: Int = 8,
361d8f4dcbSJay    rowBits: Int = 64,
371d8f4dcbSJay    nTLBEntries: Int = 32,
381d8f4dcbSJay    tagECC: Option[String] = None,
391d8f4dcbSJay    dataECC: Option[String] = None,
401d8f4dcbSJay    replacer: Option[String] = Some("random"),
411d8f4dcbSJay    nMissEntries: Int = 2,
4200240ba6SJay    nReleaseEntries: Int = 1,
431d8f4dcbSJay    nProbeEntries: Int = 2,
447052722fSJay    nPrefetchEntries: Int = 4,
457052722fSJay    hasPrefetch: Boolean = false,
461d8f4dcbSJay    nMMIOs: Int = 1,
471d8f4dcbSJay    blockBytes: Int = 64
481d8f4dcbSJay)extends L1CacheParameters {
491d8f4dcbSJay
501d8f4dcbSJay  val setBytes = nSets * blockBytes
511d8f4dcbSJay  val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None
521d8f4dcbSJay  val reqFields: Seq[BundleFieldBase] = Seq(
531d8f4dcbSJay    PrefetchField(),
541d8f4dcbSJay    PreferCacheField()
551d8f4dcbSJay  ) ++ aliasBitsOpt.map(AliasField)
561d8f4dcbSJay  val echoFields: Seq[BundleFieldBase] = Seq(DirtyField())
571d8f4dcbSJay  def tagCode: Code = Code.fromString(tagECC)
581d8f4dcbSJay  def dataCode: Code = Code.fromString(dataECC)
591d8f4dcbSJay  def replacement = ReplacementPolicy.fromString(replacer,nWays,nSets)
601d8f4dcbSJay}
611d8f4dcbSJay
621d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst{
631d8f4dcbSJay  val cacheParams = icacheParameters
6442cfa32cSJinYue  val dataCodeUnit = 16
65b37bce8eSJinYue  val dataCodeUnitNum  = blockBits/dataCodeUnit
661d8f4dcbSJay
671d8f4dcbSJay  def highestIdxBit = log2Ceil(nSets) - 1
68b37bce8eSJinYue  def encDataUnitBits   = cacheParams.dataCode.width(dataCodeUnit)
69b37bce8eSJinYue  def dataCodeBits      = encDataUnitBits - dataCodeUnit
70b37bce8eSJinYue  def dataCodeEntryBits = dataCodeBits * dataCodeUnitNum
711d8f4dcbSJay
721d8f4dcbSJay  val ICacheSets = cacheParams.nSets
731d8f4dcbSJay  val ICacheWays = cacheParams.nWays
741d8f4dcbSJay
751d8f4dcbSJay  val ICacheSameVPAddrLength = 12
762a25dbb4SJay  val ReplaceIdWid = 5
771d8f4dcbSJay
781d8f4dcbSJay  val ICacheWordOffset = 0
791d8f4dcbSJay  val ICacheSetOffset = ICacheWordOffset + log2Up(blockBytes)
801d8f4dcbSJay  val ICacheAboveIndexOffset = ICacheSetOffset + log2Up(ICacheSets)
811d8f4dcbSJay  val ICacheTagOffset = ICacheAboveIndexOffset min ICacheSameVPAddrLength
821d8f4dcbSJay
832a25dbb4SJay  def ReplacePipeKey = 0
847052722fSJay  def MainPipeKey = 1
851d8f4dcbSJay  def PortNumber = 2
867052722fSJay  def ProbeKey   = 3
871d8f4dcbSJay
88adc7b752SJenius  def partWayNum = 4
89adc7b752SJenius  def pWay = nWays/partWayNum
90adc7b752SJenius
917052722fSJay  def nPrefetchEntries = cacheParams.nPrefetchEntries
921d8f4dcbSJay
93adc7b752SJenius  def getBits(num: Int) = log2Ceil(num).W
94adc7b752SJenius
95adc7b752SJenius
962a25dbb4SJay  def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = {
972a25dbb4SJay    val valid  = RegInit(false.B)
982a25dbb4SJay    when(thisFlush)                    {valid  := false.B}
992a25dbb4SJay      .elsewhen(lastFire && !lastFlush)  {valid  := true.B}
1002a25dbb4SJay      .elsewhen(thisFire)                 {valid  := false.B}
1012a25dbb4SJay    valid
1022a25dbb4SJay  }
1032a25dbb4SJay
1042a25dbb4SJay  def ResultHoldBypass[T<:Data](data: T, valid: Bool): T = {
1052a25dbb4SJay    Mux(valid, data, RegEnable(data, valid))
1062a25dbb4SJay  }
1072a25dbb4SJay
1081d8f4dcbSJay  require(isPow2(nSets), s"nSets($nSets) must be pow2")
1091d8f4dcbSJay  require(isPow2(nWays), s"nWays($nWays) must be pow2")
1101d8f4dcbSJay}
1111d8f4dcbSJay
1121d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle
1131d8f4dcbSJay  with HasICacheParameters
1141d8f4dcbSJay
1151d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule
1161d8f4dcbSJay  with HasICacheParameters
1171d8f4dcbSJay
1181d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule
1191d8f4dcbSJay  with HasICacheParameters
1201d8f4dcbSJay
1211d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle {
1221d8f4dcbSJay  val coh = new ClientMetadata
1231d8f4dcbSJay  val tag = UInt(tagBits.W)
1241d8f4dcbSJay}
1251d8f4dcbSJay
1261d8f4dcbSJayobject ICacheMetadata {
1271d8f4dcbSJay  def apply(tag: Bits, coh: ClientMetadata)(implicit p: Parameters) = {
1281d8f4dcbSJay    val meta = Wire(new L1Metadata)
1291d8f4dcbSJay    meta.tag := tag
1301d8f4dcbSJay    meta.coh := coh
1311d8f4dcbSJay    meta
1321d8f4dcbSJay  }
1331d8f4dcbSJay}
1341d8f4dcbSJay
1351d8f4dcbSJay
1361d8f4dcbSJayclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray
1371d8f4dcbSJay{
1381d8f4dcbSJay  def onReset = ICacheMetadata(0.U, ClientMetadata.onReset)
1391d8f4dcbSJay  val metaBits = onReset.getWidth
1401d8f4dcbSJay  val metaEntryBits = cacheParams.tagCode.width(metaBits)
1411d8f4dcbSJay
1421d8f4dcbSJay  val io=IO{new Bundle{
1431d8f4dcbSJay    val write    = Flipped(DecoupledIO(new ICacheMetaWriteBundle))
144afed18b5SJenius    val read     = Flipped(DecoupledIO(new ICacheReadBundle))
1451d8f4dcbSJay    val readResp = Output(new ICacheMetaRespBundle)
146026615fcSWilliam Wang    val cacheOp  = Flipped(new L1CacheInnerOpIO) // customized cache op port
1471d8f4dcbSJay  }}
1481d8f4dcbSJay
149afed18b5SJenius  io.read.ready := !io.write.valid
150afed18b5SJenius
151afed18b5SJenius  val port_0_read_0 = io.read.valid  && !io.read.bits.vSetIdx(0)(0)
152afed18b5SJenius  val port_0_read_1 = io.read.valid  &&  io.read.bits.vSetIdx(0)(0)
153afed18b5SJenius  val port_1_read_1  = io.read.valid &&  io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
154afed18b5SJenius  val port_1_read_0  = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
155afed18b5SJenius
156afed18b5SJenius  val port_0_read_0_reg = RegEnable(next = port_0_read_0, enable = io.read.fire())
157afed18b5SJenius  val port_0_read_1_reg = RegEnable(next = port_0_read_1, enable = io.read.fire())
158afed18b5SJenius  val port_1_read_1_reg = RegEnable(next = port_1_read_1, enable = io.read.fire())
159afed18b5SJenius  val port_1_read_0_reg = RegEnable(next = port_1_read_0, enable = io.read.fire())
160afed18b5SJenius
161afed18b5SJenius  val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
162afed18b5SJenius  val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
163afed18b5SJenius  val bank_idx   = Seq(bank_0_idx, bank_1_idx)
164afed18b5SJenius
165afed18b5SJenius  val write_bank_0 = io.write.valid && !io.write.bits.bankIdx
166afed18b5SJenius  val write_bank_1 = io.write.valid &&  io.write.bits.bankIdx
1671d8f4dcbSJay
1681d8f4dcbSJay  val write_meta_bits = Wire(UInt(metaEntryBits.W))
1691d8f4dcbSJay
170afed18b5SJenius  val tagArrays = (0 until 2) map { bank =>
171afed18b5SJenius    val tagArray = Module(new SRAMTemplate(
1721d8f4dcbSJay      UInt(metaEntryBits.W),
173afed18b5SJenius      set=nSets/2,
174afed18b5SJenius      way=nWays,
175afed18b5SJenius      shouldReset = true,
176afed18b5SJenius      holdRead = true,
177afed18b5SJenius      singlePort = true
1781d8f4dcbSJay    ))
1791d8f4dcbSJay
180afed18b5SJenius    //meta connection
181afed18b5SJenius    if(bank == 0) {
182afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0
183afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1))
184afed18b5SJenius      tagArray.io.w.req.valid := write_bank_0
185afed18b5SJenius      tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
186afed18b5SJenius    }
187afed18b5SJenius    else {
188afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1
189afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1))
190afed18b5SJenius      tagArray.io.w.req.valid := write_bank_1
191afed18b5SJenius      tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
192afed18b5SJenius    }
1931d8f4dcbSJay
1941d8f4dcbSJay    tagArray
1951d8f4dcbSJay  }
196b37bce8eSJinYue
197afed18b5SJenius  io.read.ready := !io.write.valid && tagArrays.map(_.io.r.req.ready).reduce(_&&_)
198afed18b5SJenius
199afed18b5SJenius  //Parity Decode
2001d8f4dcbSJay  val read_metas = Wire(Vec(2,Vec(nWays,new ICacheMetadata())))
201afed18b5SJenius  for((tagArray,i) <- tagArrays.zipWithIndex){
202afed18b5SJenius    val read_meta_bits = tagArray.io.r.resp.asTypeOf(Vec(nWays,UInt(metaEntryBits.W)))
2031d8f4dcbSJay    val read_meta_decoded = read_meta_bits.map{ way_bits => cacheParams.tagCode.decode(way_bits)}
2041d8f4dcbSJay    val read_meta_wrong = read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.error}
2051d8f4dcbSJay    val read_meta_corrected = VecInit(read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.corrected})
206afed18b5SJenius    read_metas(i) := read_meta_corrected.asTypeOf(Vec(nWays,new ICacheMetadata()))
207afed18b5SJenius    (0 until nWays).map{ w => io.readResp.errors(i)(w) := RegNext(read_meta_wrong(w)) && RegNext(RegNext(io.read.fire))}
2081d8f4dcbSJay  }
209afed18b5SJenius
210afed18b5SJenius  //Parity Encode
211afed18b5SJenius  val write = io.write.bits
212afed18b5SJenius  write_meta_bits := cacheParams.tagCode.encode(ICacheMetadata(tag = write.phyTag, coh = write.coh).asUInt)
213afed18b5SJenius
214afed18b5SJenius  val wayNum   = OHToUInt(io.write.bits.waymask)
215afed18b5SJenius  val validPtr = Cat(io.write.bits.virIdx, wayNum)
2161d8f4dcbSJay
2171d8f4dcbSJay  io.readResp.metaData <> DontCare
2181d8f4dcbSJay  when(port_0_read_0_reg){
2191d8f4dcbSJay    io.readResp.metaData(0) := read_metas(0)
2201d8f4dcbSJay  }.elsewhen(port_0_read_1_reg){
2211d8f4dcbSJay    io.readResp.metaData(0) := read_metas(1)
2221d8f4dcbSJay  }
2231d8f4dcbSJay
2241d8f4dcbSJay  when(port_1_read_0_reg){
2251d8f4dcbSJay    io.readResp.metaData(1) := read_metas(0)
2261d8f4dcbSJay  }.elsewhen(port_1_read_1_reg){
2271d8f4dcbSJay    io.readResp.metaData(1) := read_metas(1)
2281d8f4dcbSJay  }
2291d8f4dcbSJay
230afed18b5SJenius
2311d8f4dcbSJay  io.write.ready := true.B
2321d8f4dcbSJay  // deal with customized cache op
2331d8f4dcbSJay  require(nWays <= 32)
2341d8f4dcbSJay  io.cacheOp.resp.bits := DontCare
2351d8f4dcbSJay  val cacheOpShouldResp = WireInit(false.B)
2361d8f4dcbSJay  when(io.cacheOp.req.valid){
2371d8f4dcbSJay    when(
2381d8f4dcbSJay      CacheInstrucion.isReadTag(io.cacheOp.req.bits.opCode) ||
2391d8f4dcbSJay      CacheInstrucion.isReadTagECC(io.cacheOp.req.bits.opCode)
2401d8f4dcbSJay    ){
2411d8f4dcbSJay      for (i <- 0 until 2) {
242afed18b5SJenius        tagArrays(i).io.r.req.valid := true.B
243afed18b5SJenius        tagArrays(i).io.r.req.bits.apply(setIdx = io.cacheOp.req.bits.index)
2441d8f4dcbSJay      }
2451d8f4dcbSJay      cacheOpShouldResp := true.B
2461d8f4dcbSJay    }
247afed18b5SJenius    when(CacheInstrucion.isWriteTag(io.cacheOp.req.bits.opCode)){
2481d8f4dcbSJay      for (i <- 0 until 2) {
249afed18b5SJenius        tagArrays(i).io.w.req.valid := true.B
250afed18b5SJenius        tagArrays(i).io.w.req.bits.apply(
251afed18b5SJenius          data = io.cacheOp.req.bits.write_tag_low,
252afed18b5SJenius          setIdx = io.cacheOp.req.bits.index,
253afed18b5SJenius          waymask = UIntToOH(io.cacheOp.req.bits.wayNum(4, 0))
254afed18b5SJenius        )
2551d8f4dcbSJay      }
2561d8f4dcbSJay      cacheOpShouldResp := true.B
2571d8f4dcbSJay    }
258afed18b5SJenius    // TODO
259afed18b5SJenius    // when(CacheInstrucion.isWriteTagECC(io.cacheOp.req.bits.opCode)){
260afed18b5SJenius    //   for (i <- 0 until readPorts) {
261afed18b5SJenius    //     array(i).io.ecc_write.valid := true.B
262afed18b5SJenius    //     array(i).io.ecc_write.bits.idx := io.cacheOp.req.bits.index
263afed18b5SJenius    //     array(i).io.ecc_write.bits.way_en := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0))
264afed18b5SJenius    //     array(i).io.ecc_write.bits.ecc := io.cacheOp.req.bits.write_tag_ecc
265afed18b5SJenius    //   }
266afed18b5SJenius    //   cacheOpShouldResp := true.B
267afed18b5SJenius    // }
2681d8f4dcbSJay  }
269afed18b5SJenius  io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp)
270afed18b5SJenius  io.cacheOp.resp.bits.read_tag_low := Mux(io.cacheOp.resp.valid,
271afed18b5SJenius    tagArrays(0).io.r.resp.asTypeOf(Vec(nWays, UInt(tagBits.W)))(io.cacheOp.req.bits.wayNum),
272afed18b5SJenius    0.U
2731d8f4dcbSJay  )
274afed18b5SJenius  io.cacheOp.resp.bits.read_tag_ecc := DontCare // TODO
275afed18b5SJenius  // TODO: deal with duplicated array
2761d8f4dcbSJay}
2771d8f4dcbSJay
2781d8f4dcbSJay
279afed18b5SJenius
2801d8f4dcbSJayclass ICacheDataArray(implicit p: Parameters) extends ICacheArray
2811d8f4dcbSJay{
282b37bce8eSJinYue
283b37bce8eSJinYue  def getECCFromEncUnit(encUnit: UInt) = {
284b37bce8eSJinYue    require(encUnit.getWidth == encDataUnitBits)
285e5f1252bSGuokai Chen    if (encDataUnitBits == dataCodeUnit) {
286e5f1252bSGuokai Chen      0.U.asTypeOf(UInt(1.W))
287e5f1252bSGuokai Chen    } else {
288b37bce8eSJinYue      encUnit(encDataUnitBits - 1, dataCodeUnit)
289b37bce8eSJinYue    }
290e5f1252bSGuokai Chen  }
291b37bce8eSJinYue
292b37bce8eSJinYue  def getECCFromBlock(cacheblock: UInt) = {
293b37bce8eSJinYue    // require(cacheblock.getWidth == blockBits)
294b37bce8eSJinYue    VecInit((0 until dataCodeUnitNum).map { w =>
295b37bce8eSJinYue      val unit = cacheblock(dataCodeUnit * (w + 1) - 1, dataCodeUnit * w)
296b37bce8eSJinYue      getECCFromEncUnit(cacheParams.dataCode.encode(unit))
297b37bce8eSJinYue    })
298b37bce8eSJinYue  }
299b37bce8eSJinYue
3001d8f4dcbSJay  val io=IO{new Bundle{
3011d8f4dcbSJay    val write    = Flipped(DecoupledIO(new ICacheDataWriteBundle))
302adc7b752SJenius    val read     = Flipped(DecoupledIO(Vec(partWayNum, new ICacheReadBundle)))
3031d8f4dcbSJay    val readResp = Output(new ICacheDataRespBundle)
304026615fcSWilliam Wang    val cacheOp  = Flipped(new L1CacheInnerOpIO) // customized cache op port
3051d8f4dcbSJay  }}
3061d8f4dcbSJay
307b37bce8eSJinYue  val write_data_bits = Wire(UInt(blockBits.W))
3081d8f4dcbSJay
309adc7b752SJenius  val port_0_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_0, enable = io.read.fire())
310adc7b752SJenius  val port_0_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_1, enable = io.read.fire())
311adc7b752SJenius  val port_1_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_1, enable = io.read.fire())
312adc7b752SJenius  val port_1_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_0, enable = io.read.fire())
313adc7b752SJenius
314adc7b752SJenius  val bank_0_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_0, copy.vSetIdx(0), copy.vSetIdx(1)))
315adc7b752SJenius  val bank_1_idx_vec = io.read.bits.map(copy =>  Mux(io.read.valid && copy.port_0_read_1, copy.vSetIdx(0), copy.vSetIdx(1)))
316adc7b752SJenius
317adc7b752SJenius  val dataArrays = (0 until partWayNum).map{ i =>
318adc7b752SJenius    val dataArray = Module(new ICachePartWayArray(
319b37bce8eSJinYue      UInt(blockBits.W),
320adc7b752SJenius      pWay,
3211d8f4dcbSJay    ))
3221d8f4dcbSJay
323adc7b752SJenius    dataArray.io.read.req(0).valid :=  io.read.bits(i).read_bank_0 && io.read.valid
324adc7b752SJenius    dataArray.io.read.req(0).bits.ridx := bank_0_idx_vec(i)(highestIdxBit,1)
325adc7b752SJenius    dataArray.io.read.req(1).valid := io.read.bits(i).read_bank_1 && io.read.valid
326adc7b752SJenius    dataArray.io.read.req(1).bits.ridx := bank_1_idx_vec(i)(highestIdxBit,1)
327adc7b752SJenius
328adc7b752SJenius
329adc7b752SJenius    dataArray.io.write.valid         := io.write.valid
330adc7b752SJenius    dataArray.io.write.bits.wdata    := write_data_bits
331adc7b752SJenius    dataArray.io.write.bits.widx     := io.write.bits.virIdx(highestIdxBit,1)
332adc7b752SJenius    dataArray.io.write.bits.wbankidx := io.write.bits.bankIdx
333adc7b752SJenius    dataArray.io.write.bits.wmask    := io.write.bits.waymask.asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
3341d8f4dcbSJay
3351d8f4dcbSJay    dataArray
3361d8f4dcbSJay  }
3371d8f4dcbSJay
338adc7b752SJenius  val read_datas = Wire(Vec(2,Vec(nWays,UInt(blockBits.W) )))
339adc7b752SJenius
340adc7b752SJenius  (0 until PortNumber).map { port =>
341adc7b752SJenius    (0 until nWays).map { w =>
342adc7b752SJenius      read_datas(port)(w) := dataArrays(w / pWay).io.read.resp.rdata(port).asTypeOf(Vec(pWay, UInt(blockBits.W)))(w % pWay)
343adc7b752SJenius    }
344adc7b752SJenius  }
345adc7b752SJenius
346adc7b752SJenius  io.readResp.datas(0) := Mux( port_0_read_1_reg, read_datas(1) , read_datas(0))
347adc7b752SJenius  io.readResp.datas(1) := Mux( port_1_read_0_reg, read_datas(0) , read_datas(1))
348adc7b752SJenius
349adc7b752SJenius
350adc7b752SJenius  val write_data_code = Wire(UInt(dataCodeEntryBits.W))
351afed18b5SJenius  val write_bank_0 = WireInit(io.write.valid && !io.write.bits.bankIdx)
352afed18b5SJenius  val write_bank_1 = WireInit(io.write.valid &&  io.write.bits.bankIdx)
353adc7b752SJenius
354afed18b5SJenius  val bank_0_idx = bank_0_idx_vec.last
355afed18b5SJenius  val bank_1_idx = bank_1_idx_vec.last
356afed18b5SJenius
357afed18b5SJenius  val codeArrays = (0 until 2) map { i =>
358afed18b5SJenius    val codeArray = Module(new SRAMTemplate(
359b37bce8eSJinYue      UInt(dataCodeEntryBits.W),
360afed18b5SJenius      set=nSets/2,
361afed18b5SJenius      way=nWays,
362afed18b5SJenius      shouldReset = true,
363afed18b5SJenius      holdRead = true,
364afed18b5SJenius      singlePort = true
365b37bce8eSJinYue    ))
366b37bce8eSJinYue
367afed18b5SJenius    if(i == 0) {
368afed18b5SJenius      codeArray.io.r.req.valid := io.read.valid && io.read.bits.last.read_bank_0
369afed18b5SJenius      codeArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1))
370afed18b5SJenius      codeArray.io.w.req.valid := write_bank_0
371afed18b5SJenius      codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
372afed18b5SJenius    }
373afed18b5SJenius    else {
374afed18b5SJenius      codeArray.io.r.req.valid := io.read.valid && io.read.bits.last.read_bank_1
375afed18b5SJenius      codeArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1))
376afed18b5SJenius      codeArray.io.w.req.valid := write_bank_1
377afed18b5SJenius      codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
378afed18b5SJenius    }
379b37bce8eSJinYue
380b37bce8eSJinYue    codeArray
381b37bce8eSJinYue  }
382afed18b5SJenius
383adc7b752SJenius  io.read.ready := !io.write.valid &&
384adc7b752SJenius                    dataArrays.map(_.io.read.req.map(_.ready).reduce(_&&_)).reduce(_&&_) &&
385afed18b5SJenius                    codeArrays.map(_.io.r.req.ready).reduce(_ && _)
38619d62fa1SJenius
3871d8f4dcbSJay  //Parity Decode
388b37bce8eSJinYue  val read_codes = Wire(Vec(2,Vec(nWays,UInt(dataCodeEntryBits.W) )))
389afed18b5SJenius  for(((dataArray,codeArray),i) <- dataArrays.zip(codeArrays).zipWithIndex){
390afed18b5SJenius    read_codes(i) := codeArray.io.r.resp.asTypeOf(Vec(nWays,UInt(dataCodeEntryBits.W)))
391adc7b752SJenius  }
39279b191f7SJay
3931d8f4dcbSJay  //Parity Encode
3941d8f4dcbSJay  val write = io.write.bits
395b37bce8eSJinYue  val write_data = WireInit(write.data)
396b37bce8eSJinYue  write_data_code := getECCFromBlock(write_data).asUInt
397b37bce8eSJinYue  write_data_bits := write_data
3981d8f4dcbSJay
39979b191f7SJay  io.readResp.codes(0) := Mux( port_0_read_1_reg, read_codes(1) , read_codes(0))
40079b191f7SJay  io.readResp.codes(1) := Mux( port_1_read_0_reg, read_codes(0) , read_codes(1))
4011d8f4dcbSJay
4021d8f4dcbSJay  io.write.ready := true.B
4031d8f4dcbSJay
4041d8f4dcbSJay  // deal with customized cache op
4051d8f4dcbSJay  require(nWays <= 32)
4061d8f4dcbSJay  io.cacheOp.resp.bits := DontCare
407adc7b752SJenius  io.cacheOp.resp.valid := false.B
4081d8f4dcbSJay  val cacheOpShouldResp = WireInit(false.B)
4091d8f4dcbSJay  when(io.cacheOp.req.valid){
4101d8f4dcbSJay    when(
411adc7b752SJenius      CacheInstrucion.isReadData(io.cacheOp.req.bits.opCode)
4121d8f4dcbSJay    ){
413adc7b752SJenius      for (i <- 0 until 2) {
414adc7b752SJenius        dataArrays(i).io.read.req.map{ port =>
415adc7b752SJenius          port.valid     := !io.cacheOp.req.bits.index(0)
416adc7b752SJenius          port.bits.ridx := io.cacheOp.req.bits.index(highestIdxBit,1)
417adc7b752SJenius        }
418adc7b752SJenius      }
4191d8f4dcbSJay      cacheOpShouldResp := true.B
420adc7b752SJenius      io.cacheOp.resp.valid := RegNext(dataArrays.head.io.read.req.map(_.fire()).reduce(_||_) && cacheOpShouldResp)
421adc7b752SJenius
4221d8f4dcbSJay      val dataresp = Mux(io.cacheOp.req.bits.bank_num(0).asBool,
42370899835SWilliam Wang        read_datas(1),
42470899835SWilliam Wang        read_datas(0)
4251d8f4dcbSJay      )
4261d8f4dcbSJay
4271d8f4dcbSJay      val numICacheLineWords = blockBits / 64
4281d8f4dcbSJay      require(blockBits >= 64 && isPow2(blockBits))
4291d8f4dcbSJay      for (wordIndex <- 0 until numICacheLineWords) {
4301d8f4dcbSJay        io.cacheOp.resp.bits.read_data_vec(wordIndex) := dataresp(io.cacheOp.req.bits.wayNum(4, 0))(64*(wordIndex+1)-1, 64*wordIndex)
4311d8f4dcbSJay      }
432adc7b752SJenius    }
433adc7b752SJenius    when(CacheInstrucion.isWriteData(io.cacheOp.req.bits.opCode)){
434adc7b752SJenius      for (i <- 0 until 2) {
435adc7b752SJenius        dataArrays(i).io.write.valid := true.B
436adc7b752SJenius        dataArrays(i).io.write.bits.wdata := io.cacheOp.req.bits.write_data_vec.asTypeOf(write_data.cloneType)
437adc7b752SJenius        dataArrays(i).io.write.bits.wbankidx := io.cacheOp.req.bits.index(0)
438adc7b752SJenius        dataArrays(i).io.write.bits.widx := io.cacheOp.req.bits.index(highestIdxBit,1)
439adc7b752SJenius        dataArrays(i).io.write.bits.wmask  := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)).asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i)
440adc7b752SJenius      }
441adc7b752SJenius      cacheOpShouldResp := true.B
442adc7b752SJenius    }
443adc7b752SJenius  }
4441d8f4dcbSJay}
4451d8f4dcbSJay
4461d8f4dcbSJay
4471d8f4dcbSJayclass ICacheIO(implicit p: Parameters) extends ICacheBundle
4481d8f4dcbSJay{
44941cb8b61SJenius  val hartId = Input(UInt(8.W))
4507052722fSJay  val prefetch    = Flipped(new FtqPrefechBundle)
4511d8f4dcbSJay  val stop        = Input(Bool())
452c5c5edaeSJenius  val fetch       = new ICacheMainPipeBundle
453*50780602SJenius  val toIFU       = Output(Bool())
45461e1db30SJay  val pmp         = Vec(PortNumber + 1, new ICachePMPBundle)
455f1fe8698SLemover  val itlb        = Vec(PortNumber + 1, new TlbRequestIO)
4561d8f4dcbSJay  val perfInfo    = Output(new ICachePerfInfo)
45758dbdfc2SJay  val error       = new L1CacheErrorInfo
458ecccf78fSJay  /* Cache Instruction */
459ecccf78fSJay  val csr         = new L1CacheToCsrIO
460ecccf78fSJay  /* CSR control signal */
461ecccf78fSJay  val csr_pf_enable = Input(Bool())
462ecccf78fSJay  val csr_parity_enable = Input(Bool())
4631d8f4dcbSJay}
4641d8f4dcbSJay
4651d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters {
4661d8f4dcbSJay
4671d8f4dcbSJay  val clientParameters = TLMasterPortParameters.v1(
4681d8f4dcbSJay    Seq(TLMasterParameters.v1(
4691d8f4dcbSJay      name = "icache",
4701d8f4dcbSJay      sourceId = IdRange(0, cacheParams.nMissEntries + cacheParams.nReleaseEntries),
4717052722fSJay      supportsProbe = TransferSizes(blockBytes),
4727052722fSJay      supportsHint = TransferSizes(blockBytes)
4731d8f4dcbSJay    )),
4741d8f4dcbSJay    requestFields = cacheParams.reqFields,
4751d8f4dcbSJay    echoFields = cacheParams.echoFields
4761d8f4dcbSJay  )
4771d8f4dcbSJay
4781d8f4dcbSJay  val clientNode = TLClientNode(Seq(clientParameters))
4791d8f4dcbSJay
4801d8f4dcbSJay  lazy val module = new ICacheImp(this)
4811d8f4dcbSJay}
4821d8f4dcbSJay
4831ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents {
4841d8f4dcbSJay  val io = IO(new ICacheIO)
4851d8f4dcbSJay
4867052722fSJay  println("ICache:")
4877052722fSJay  println("  ICacheSets: "          + cacheParams.nSets)
4887052722fSJay  println("  ICacheWays: "          + cacheParams.nWays)
4897052722fSJay  println("  ICacheBanks: "         + PortNumber)
4907052722fSJay  println("  hasPrefetch: "         + cacheParams.hasPrefetch)
4917052722fSJay  if(cacheParams.hasPrefetch){
4927052722fSJay    println("  nPrefetchEntries: "         + cacheParams.nPrefetchEntries)
4937052722fSJay  }
4947052722fSJay
4951d8f4dcbSJay  val (bus, edge) = outer.clientNode.out.head
4961d8f4dcbSJay
4971d8f4dcbSJay  val metaArray      = Module(new ICacheMetaArray)
4981d8f4dcbSJay  val dataArray      = Module(new ICacheDataArray)
4992a25dbb4SJay  val mainPipe       = Module(new ICacheMainPipe)
5001d8f4dcbSJay  val missUnit      = Module(new ICacheMissUnit(edge))
5011d8f4dcbSJay  val releaseUnit    = Module(new ReleaseUnit(edge))
50200240ba6SJay  val replacePipe     = Module(new ICacheReplacePipe)
5031d8f4dcbSJay  val probeQueue     = Module(new ICacheProbeQueue(edge))
5047052722fSJay  val prefetchPipe    = Module(new IPrefetchPipe)
5051d8f4dcbSJay
506afed18b5SJenius  val meta_read_arb   = Module(new Arbiter(new ICacheReadBundle,  3))
507adc7b752SJenius  val data_read_arb   = Module(new Arbiter(Vec(partWayNum, new ICacheReadBundle),  2))
5082a25dbb4SJay  val meta_write_arb  = Module(new Arbiter(new ICacheMetaWriteBundle(),  2 ))
5092a25dbb4SJay  val replace_req_arb = Module(new Arbiter(new ReplacePipeReq, 2))
51091df15e5SJay  // val tlb_req_arb     = Module(new Arbiter(new TlbReq, 2))
5111d8f4dcbSJay
5122a25dbb4SJay  meta_read_arb.io.in(ReplacePipeKey)   <> replacePipe.io.meta_read
5137052722fSJay  meta_read_arb.io.in(MainPipeKey)      <> mainPipe.io.metaArray.toIMeta
5147052722fSJay  meta_read_arb.io.in(2)                <> prefetchPipe.io.toIMeta
5151d8f4dcbSJay  metaArray.io.read                     <> meta_read_arb.io.out
5167052722fSJay
5172a25dbb4SJay  replacePipe.io.meta_response          <> metaArray.io.readResp
5182a25dbb4SJay  mainPipe.io.metaArray.fromIMeta       <> metaArray.io.readResp
5197052722fSJay  prefetchPipe.io.fromIMeta             <> metaArray.io.readResp
5201d8f4dcbSJay
5212a25dbb4SJay  data_read_arb.io.in(ReplacePipeKey) <> replacePipe.io.data_read
5227052722fSJay  data_read_arb.io.in(MainPipeKey)    <> mainPipe.io.dataArray.toIData
5231d8f4dcbSJay  dataArray.io.read                   <> data_read_arb.io.out
5242a25dbb4SJay  replacePipe.io.data_response        <> dataArray.io.readResp
5252a25dbb4SJay  mainPipe.io.dataArray.fromIData     <> dataArray.io.readResp
5261d8f4dcbSJay
5272a25dbb4SJay  mainPipe.io.respStall := io.stop
5282a25dbb4SJay  io.perfInfo := mainPipe.io.perfInfo
5291d8f4dcbSJay
5302a25dbb4SJay  meta_write_arb.io.in(ReplacePipeKey)  <> replacePipe.io.meta_write
5317052722fSJay  meta_write_arb.io.in(MainPipeKey)     <> missUnit.io.meta_write
5321d8f4dcbSJay
5331d8f4dcbSJay  metaArray.io.write <> meta_write_arb.io.out
5341d8f4dcbSJay  dataArray.io.write <> missUnit.io.data_write
5351d8f4dcbSJay
536ecccf78fSJay  mainPipe.io.csr_parity_enable := io.csr_parity_enable
537ecccf78fSJay  replacePipe.io.csr_parity_enable := io.csr_parity_enable
538ecccf78fSJay
5397052722fSJay  if(cacheParams.hasPrefetch){
5407052722fSJay    prefetchPipe.io.fromFtq <> io.prefetch
541ecccf78fSJay    when(!io.csr_pf_enable){
542ecccf78fSJay      prefetchPipe.io.fromFtq.req.valid := false.B
543ecccf78fSJay      io.prefetch.req.ready := true.B
544ecccf78fSJay    }
5457052722fSJay  } else {
5467052722fSJay    prefetchPipe.io.fromFtq <> DontCare
5477052722fSJay  }
5487052722fSJay
54961e1db30SJay  io.pmp(0) <> mainPipe.io.pmp(0)
55061e1db30SJay  io.pmp(1) <> mainPipe.io.pmp(1)
55161e1db30SJay  io.pmp(2) <> prefetchPipe.io.pmp
5527052722fSJay
553a108d429SJay  prefetchPipe.io.prefetchEnable := mainPipe.io.prefetchEnable
554a108d429SJay  prefetchPipe.io.prefetchDisable := mainPipe.io.prefetchDisable
555a108d429SJay
556*50780602SJenius  //notify IFU that Icache pipeline is available
557*50780602SJenius  io.toIFU := mainPipe.io.fetch.req.ready
558a108d429SJay
55991df15e5SJay  // tlb_req_arb.io.in(0) <> mainPipe.io.itlb(0).req
56091df15e5SJay  // tlb_req_arb.io.in(1) <> prefetchPipe.io.iTLBInter.req
56191df15e5SJay  // io.itlb(0).req       <>    tlb_req_arb.io.out
5627052722fSJay
56391df15e5SJay  // mainPipe.io.itlb(0).resp  <>  io.itlb(0).resp
56491df15e5SJay  // prefetchPipe.io.iTLBInter.resp  <>  io.itlb(0).resp
5657052722fSJay
56691df15e5SJay  // when(mainPipe.io.itlb(0).req.fire() && prefetchPipe.io.iTLBInter.req.fire())
56791df15e5SJay  // {
56891df15e5SJay  //   assert(false.B, "Both mainPipe ITLB and prefetchPipe ITLB fire!")
56991df15e5SJay  // }
5707052722fSJay
57191df15e5SJay  io.itlb(0)        <>    mainPipe.io.itlb(0)
5727052722fSJay  io.itlb(1)        <>    mainPipe.io.itlb(1)
573f1fe8698SLemover  // io.itlb(2)        <>    mainPipe.io.itlb(2)
574f1fe8698SLemover  // io.itlb(3)        <>    mainPipe.io.itlb(3)
575f1fe8698SLemover  io.itlb(2)        <>    prefetchPipe.io.iTLBInter
5767052722fSJay
5771d8f4dcbSJay
578c5c5edaeSJenius  io.fetch.resp     <>    mainPipe.io.fetch.resp
579c5c5edaeSJenius
580c5c5edaeSJenius  for(i <- 0 until PortNumber){
5812a25dbb4SJay    missUnit.io.req(i)           <>   mainPipe.io.mshr(i).toMSHR
5822a25dbb4SJay    mainPipe.io.mshr(i).fromMSHR <>   missUnit.io.resp(i)
5831d8f4dcbSJay  }
5841d8f4dcbSJay
5857052722fSJay  missUnit.io.prefetch_req <> prefetchPipe.io.toMissUnit.enqReq
58641cb8b61SJenius  missUnit.io.hartId       := io.hartId
58700240ba6SJay  prefetchPipe.io.fromMSHR <> missUnit.io.prefetch_check
58800240ba6SJay
5891d8f4dcbSJay  bus.b.ready := false.B
5901d8f4dcbSJay  bus.c.valid := false.B
5911d8f4dcbSJay  bus.c.bits  := DontCare
5921d8f4dcbSJay  bus.e.valid := false.B
5931d8f4dcbSJay  bus.e.bits  := DontCare
5941d8f4dcbSJay
5951d8f4dcbSJay  bus.a <> missUnit.io.mem_acquire
5961d8f4dcbSJay  bus.e <> missUnit.io.mem_finish
5971d8f4dcbSJay
59800240ba6SJay  releaseUnit.io.req <>  replacePipe.io.release_req
59900240ba6SJay  replacePipe.io.release_finish := releaseUnit.io.finish
6001d8f4dcbSJay  bus.c <> releaseUnit.io.mem_release
6011d8f4dcbSJay
6021d8f4dcbSJay  // connect bus d
6031d8f4dcbSJay  missUnit.io.mem_grant.valid := false.B
6041d8f4dcbSJay  missUnit.io.mem_grant.bits  := DontCare
6051d8f4dcbSJay
6061d8f4dcbSJay  releaseUnit.io.mem_grant.valid := false.B
6071d8f4dcbSJay  releaseUnit.io.mem_grant.bits  := DontCare
6081d8f4dcbSJay
6091d8f4dcbSJay  //Probe through bus b
6101d8f4dcbSJay  probeQueue.io.mem_probe    <> bus.b
6111d8f4dcbSJay
61258dbdfc2SJay  //Parity error port
61358dbdfc2SJay  val errors = mainPipe.io.errors ++ Seq(replacePipe.io.error)
6140f59c834SWilliam Wang  io.error <> RegNext(Mux1H(errors.map(e => e.valid -> e)))
61558dbdfc2SJay
6162a25dbb4SJay
6172a25dbb4SJay  /** Block set-conflict request */
6182a25dbb4SJay val probeReqValid = probeQueue.io.pipe_req.valid
6192a25dbb4SJay val probeReqVidx  = probeQueue.io.pipe_req.bits.vidx
6202a25dbb4SJay
6212a25dbb4SJay  val hasVictim = VecInit(missUnit.io.victimInfor.map(_.valid))
6222a25dbb4SJay  val victimSetSeq = VecInit(missUnit.io.victimInfor.map(_.vidx))
6232a25dbb4SJay
6242a25dbb4SJay  val probeShouldBlock = VecInit(hasVictim.zip(victimSetSeq).map{case(valid, idx) =>  valid && probeReqValid && idx === probeReqVidx }).reduce(_||_)
6252a25dbb4SJay
6262a25dbb4SJay val releaseReqValid = missUnit.io.release_req.valid
6272a25dbb4SJay val releaseReqVidx  = missUnit.io.release_req.bits.vidx
6282a25dbb4SJay
6292a25dbb4SJay  val hasConflict = VecInit(Seq(
6302a25dbb4SJay        replacePipe.io.status.r1_set.valid,
63100240ba6SJay        replacePipe.io.status.r2_set.valid,
63200240ba6SJay        replacePipe.io.status.r3_set.valid
6331d8f4dcbSJay  ))
6341d8f4dcbSJay
6352a25dbb4SJay  val conflictIdx = VecInit(Seq(
6362a25dbb4SJay        replacePipe.io.status.r1_set.bits,
63700240ba6SJay        replacePipe.io.status.r2_set.bits,
63800240ba6SJay        replacePipe.io.status.r3_set.bits
6391d8f4dcbSJay  ))
6401d8f4dcbSJay
6412a25dbb4SJay  val releaseShouldBlock = VecInit(hasConflict.zip(conflictIdx).map{case(valid, idx) =>  valid && releaseReqValid && idx === releaseReqVidx }).reduce(_||_)
6421d8f4dcbSJay
64392acb6b9SJay  replace_req_arb.io.in(ReplacePipeKey) <> probeQueue.io.pipe_req
64492acb6b9SJay  replace_req_arb.io.in(ReplacePipeKey).valid := probeQueue.io.pipe_req.valid && !probeShouldBlock
6457052722fSJay  replace_req_arb.io.in(MainPipeKey)   <> missUnit.io.release_req
6467052722fSJay  replace_req_arb.io.in(MainPipeKey).valid := missUnit.io.release_req.valid && !releaseShouldBlock
64792acb6b9SJay  replacePipe.io.pipe_req               <> replace_req_arb.io.out
64892acb6b9SJay
649c90cd2d1SJay  when(releaseShouldBlock){
650c90cd2d1SJay    missUnit.io.release_req.ready := false.B
651c90cd2d1SJay  }
652c90cd2d1SJay
653c90cd2d1SJay  when(probeShouldBlock){
654c90cd2d1SJay    probeQueue.io.pipe_req.ready := false.B
655c90cd2d1SJay  }
656c90cd2d1SJay
657c90cd2d1SJay
65892acb6b9SJay  missUnit.io.release_resp <> replacePipe.io.pipe_resp
65992acb6b9SJay
6601d8f4dcbSJay
661c5c5edaeSJenius  mainPipe.io.fetch.req <> io.fetch.req //&& !fetchShouldBlock(i)
6621d8f4dcbSJay  // in L1ICache, we only expect GrantData and ReleaseAck
6631d8f4dcbSJay  bus.d.ready := false.B
6641d8f4dcbSJay  when ( bus.d.bits.opcode === TLMessages.GrantData) {
6651d8f4dcbSJay    missUnit.io.mem_grant <> bus.d
6661d8f4dcbSJay  } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) {
6671d8f4dcbSJay    releaseUnit.io.mem_grant <> bus.d
6681d8f4dcbSJay  } .otherwise {
6691d8f4dcbSJay    assert (!bus.d.fire())
6701d8f4dcbSJay  }
6711d8f4dcbSJay
6721d8f4dcbSJay  val perfEvents = Seq(
6731d8f4dcbSJay    ("icache_miss_cnt  ", false.B),
6741d8f4dcbSJay    ("icache_miss_penty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)),
6751d8f4dcbSJay  )
6761ca0e4f3SYinan Xu  generatePerfEvent()
6771d8f4dcbSJay
6781d8f4dcbSJay  // Customized csr cache op support
6791d8f4dcbSJay  val cacheOpDecoder = Module(new CSRCacheOpDecoder("icache", CacheInstrucion.COP_ID_ICACHE))
6801d8f4dcbSJay  cacheOpDecoder.io.csr <> io.csr
6811d8f4dcbSJay  dataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
6821d8f4dcbSJay  metaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
6831d8f4dcbSJay  cacheOpDecoder.io.cache.resp.valid :=
6841d8f4dcbSJay    dataArray.io.cacheOp.resp.valid ||
6851d8f4dcbSJay    metaArray.io.cacheOp.resp.valid
6861d8f4dcbSJay  cacheOpDecoder.io.cache.resp.bits := Mux1H(List(
6871d8f4dcbSJay    dataArray.io.cacheOp.resp.valid -> dataArray.io.cacheOp.resp.bits,
6881d8f4dcbSJay    metaArray.io.cacheOp.resp.valid -> metaArray.io.cacheOp.resp.bits,
6891d8f4dcbSJay  ))
6909ef181f4SWilliam Wang  cacheOpDecoder.io.error := io.error
6911d8f4dcbSJay  assert(!((dataArray.io.cacheOp.resp.valid +& metaArray.io.cacheOp.resp.valid) > 1.U))
692adc7b752SJenius
693adc7b752SJenius}
694adc7b752SJenius
695adc7b752SJeniusclass ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
696adc7b752SJenius  extends ICacheBundle
697adc7b752SJenius{
698adc7b752SJenius  val req = Flipped(Vec(PortNumber, Decoupled(new Bundle{
699adc7b752SJenius    val ridx = UInt((log2Ceil(nSets) - 1).W)
700adc7b752SJenius  })))
701adc7b752SJenius  val resp = Output(new Bundle{
702adc7b752SJenius    val rdata  = Vec(PortNumber,Vec(pWay, gen))
703adc7b752SJenius  })
704adc7b752SJenius}
705adc7b752SJenius
706adc7b752SJeniusclass ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
707adc7b752SJenius  extends ICacheBundle
708adc7b752SJenius{
709adc7b752SJenius  val wdata = gen
710adc7b752SJenius  val widx = UInt((log2Ceil(nSets) - 1).W)
711adc7b752SJenius  val wbankidx = Bool()
712adc7b752SJenius  val wmask = Vec(pWay, Bool())
713adc7b752SJenius}
714adc7b752SJenius
715adc7b752SJeniusclass ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray
716adc7b752SJenius{
717adc7b752SJenius
718adc7b752SJenius  //including part way data
719adc7b752SJenius  val io = IO{new Bundle {
720adc7b752SJenius    val read      = new  ICachePartWayReadBundle(gen,pWay)
721adc7b752SJenius    val write     = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay)))
722adc7b752SJenius  }}
723adc7b752SJenius
724adc7b752SJenius  io.read.req.map(_.ready := !io.write.valid)
725adc7b752SJenius
726adc7b752SJenius  val srams = (0 until PortNumber) map { bank =>
727adc7b752SJenius    val sramBank = Module(new SRAMTemplate(
728adc7b752SJenius      gen,
729adc7b752SJenius      set=nSets/2,
730adc7b752SJenius      way=pWay,
731adc7b752SJenius      shouldReset = true,
732adc7b752SJenius      holdRead = true,
733adc7b752SJenius      singlePort = true
734adc7b752SJenius    ))
735adc7b752SJenius
736adc7b752SJenius    sramBank.io.r.req.valid := io.read.req(bank).valid
737adc7b752SJenius    sramBank.io.r.req.bits.apply(setIdx= io.read.req(bank).bits.ridx)
738adc7b752SJenius
739adc7b752SJenius    if(bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx
740adc7b752SJenius    else sramBank.io.w.req.valid := io.write.valid && io.write.bits.wbankidx
741adc7b752SJenius    sramBank.io.w.req.bits.apply(data=io.write.bits.wdata, setIdx=io.write.bits.widx, waymask=io.write.bits.wmask.asUInt())
742adc7b752SJenius
743adc7b752SJenius    sramBank
744adc7b752SJenius  }
745adc7b752SJenius
746adc7b752SJenius  io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_&&_))
747adc7b752SJenius
748adc7b752SJenius  io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay,gen))))
749adc7b752SJenius
7501d8f4dcbSJay}
751