xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICache.scala (revision 39d5540271df034125c1e90ea1f44aee907af908)
11d8f4dcbSJay/***************************************************************************************
2e3da8badSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3e3da8badSTang Haojin* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
41d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory
51d8f4dcbSJay*
61d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2.
71d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2.
81d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at:
91d8f4dcbSJay*          http://license.coscl.org.cn/MulanPSL2
101d8f4dcbSJay*
111d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
121d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
131d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
141d8f4dcbSJay*
151d8f4dcbSJay* See the Mulan PSL v2 for more details.
16c49ebec8SHaoyuan Feng*
17c49ebec8SHaoyuan Feng*
18c49ebec8SHaoyuan Feng* Acknowledgement
19c49ebec8SHaoyuan Feng*
20c49ebec8SHaoyuan Feng* This implementation is inspired by several key papers:
21c49ebec8SHaoyuan Feng* [1] Glenn Reinman, Brad Calder, and Todd Austin. "[Fetch directed instruction prefetching.]
22c49ebec8SHaoyuan Feng* (https://doi.org/10.1109/MICRO.1999.809439)" 32nd Annual ACM/IEEE International Symposium on Microarchitecture
23c49ebec8SHaoyuan Feng* (MICRO). 1999.
241d8f4dcbSJay***************************************************************************************/
251d8f4dcbSJay
261d8f4dcbSJaypackage xiangshan.frontend.icache
271d8f4dcbSJay
281d8f4dcbSJayimport chisel3._
297f37d55fSTang Haojinimport chisel3.util._
30cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.IdRange
31cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModule
32cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModuleImp
331d8f4dcbSJayimport freechips.rocketchip.tilelink._
341d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase
35cf7d6b7aSMuziimport huancun.AliasField
36cf7d6b7aSMuziimport huancun.PrefetchField
377f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters
383c02ee8fSwakafaimport utility._
397f37d55fSTang Haojinimport utils._
407f37d55fSTang Haojinimport xiangshan._
417f37d55fSTang Haojinimport xiangshan.cache._
427f37d55fSTang Haojinimport xiangshan.cache.mmu.TlbRequestIO
437f37d55fSTang Haojinimport xiangshan.frontend._
441d8f4dcbSJay
451d8f4dcbSJaycase class ICacheParameters(
461d8f4dcbSJay    nSets:               Int = 256,
4776b0dfefSGuokai Chen    nWays:               Int = 4,
481d8f4dcbSJay    rowBits:             Int = 64,
491d8f4dcbSJay    nTLBEntries:         Int = 32,
501d8f4dcbSJay    tagECC:              Option[String] = None,
511d8f4dcbSJay    dataECC:             Option[String] = None,
521d8f4dcbSJay    replacer:            Option[String] = Some("random"),
53b92f8445Sssszwic    PortNumber:          Int = 2,
54b92f8445Sssszwic    nFetchMshr:          Int = 4,
55b92f8445Sssszwic    nPrefetchMshr:       Int = 10,
56b92f8445Sssszwic    nWayLookupSize:      Int = 32,
57b92f8445Sssszwic    DataCodeUnit:        Int = 64,
58b92f8445Sssszwic    ICacheDataBanks:     Int = 8,
59b92f8445Sssszwic    ICacheDataSRAMWidth: Int = 66,
60b92f8445Sssszwic    // TODO: hard code, need delete
61b92f8445Sssszwic    partWayNum: Int = 4,
621d8f4dcbSJay    nMMIOs:     Int = 1,
631d8f4dcbSJay    blockBytes: Int = 64
641d8f4dcbSJay) extends L1CacheParameters {
651d8f4dcbSJay
661d8f4dcbSJay  val setBytes     = nSets * blockBytes
6768838bf8Scz4e  val aliasBitsOpt = if (setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None
681d8f4dcbSJay  val reqFields: Seq[BundleFieldBase] = Seq(
69d2b20d1aSTang Haojin    PrefetchField(),
70d2b20d1aSTang Haojin    ReqSourceField()
711d8f4dcbSJay  ) ++ aliasBitsOpt.map(AliasField)
7215ee59e4Swakafa  val echoFields: Seq[BundleFieldBase] = Nil
731d8f4dcbSJay  def tagCode:    Code                 = Code.fromString(tagECC)
741d8f4dcbSJay  def dataCode:   Code                 = Code.fromString(dataECC)
751d8f4dcbSJay  def replacement = ReplacementPolicy.fromString(replacer, nWays, nSets)
761d8f4dcbSJay}
771d8f4dcbSJay
781d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst {
791d8f4dcbSJay  val cacheParams = icacheParameters
801d8f4dcbSJay
81b92f8445Sssszwic  def ICacheSets          = cacheParams.nSets
82b92f8445Sssszwic  def ICacheWays          = cacheParams.nWays
83b92f8445Sssszwic  def PortNumber          = cacheParams.PortNumber
84b92f8445Sssszwic  def nFetchMshr          = cacheParams.nFetchMshr
85b92f8445Sssszwic  def nPrefetchMshr       = cacheParams.nPrefetchMshr
86b92f8445Sssszwic  def nWayLookupSize      = cacheParams.nWayLookupSize
87b92f8445Sssszwic  def DataCodeUnit        = cacheParams.DataCodeUnit
88b92f8445Sssszwic  def ICacheDataBanks     = cacheParams.ICacheDataBanks
89b92f8445Sssszwic  def ICacheDataSRAMWidth = cacheParams.ICacheDataSRAMWidth
90b92f8445Sssszwic  def partWayNum          = cacheParams.partWayNum
91b92f8445Sssszwic
928966a895Sxu_zh  def ICacheMetaBits      = tagBits // FIXME: unportable: maybe use somemethod to get width
938966a895Sxu_zh  def ICacheMetaCodeBits  = 1       // FIXME: unportable: maybe use cacheParams.tagCode.somemethod to get width
948966a895Sxu_zh  def ICacheMetaEntryBits = ICacheMetaBits + ICacheMetaCodeBits
958966a895Sxu_zh
96b92f8445Sssszwic  def ICacheDataBits     = blockBits / ICacheDataBanks
978966a895Sxu_zh  def ICacheDataCodeSegs = math.ceil(ICacheDataBits / DataCodeUnit).toInt // split data to segments for ECC checking
98cf7d6b7aSMuzi  def ICacheDataCodeBits =
99cf7d6b7aSMuzi    ICacheDataCodeSegs * 1 // FIXME: unportable: maybe use cacheParams.dataCode.somemethod to get width
1008966a895Sxu_zh  def ICacheDataEntryBits = ICacheDataBits + ICacheDataCodeBits
101b92f8445Sssszwic  def ICacheBankVisitNum  = 32 * 8 / ICacheDataBits + 1
1021d8f4dcbSJay  def highestIdxBit       = log2Ceil(nSets) - 1
1031d8f4dcbSJay
104b92f8445Sssszwic  require((ICacheDataBanks >= 2) && isPow2(ICacheDataBanks))
1058966a895Sxu_zh  require(ICacheDataSRAMWidth >= ICacheDataEntryBits)
106b92f8445Sssszwic  require(isPow2(ICacheSets), s"nSets($ICacheSets) must be pow2")
107b92f8445Sssszwic  require(isPow2(ICacheWays), s"nWays($ICacheWays) must be pow2")
1081d8f4dcbSJay
109adc7b752SJenius  def getBits(num: Int) = log2Ceil(num).W
110adc7b752SJenius
1112a25dbb4SJay  def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = {
1122a25dbb4SJay    val valid = RegInit(false.B)
113cf7d6b7aSMuzi    when(thisFlush)(valid := false.B)
114cf7d6b7aSMuzi      .elsewhen(lastFire && !lastFlush)(valid := true.B)
115cf7d6b7aSMuzi      .elsewhen(thisFire)(valid := false.B)
1162a25dbb4SJay    valid
1172a25dbb4SJay  }
1182a25dbb4SJay
119cf7d6b7aSMuzi  def ResultHoldBypass[T <: Data](data: T, valid: Bool): T =
1202a25dbb4SJay    Mux(valid, data, RegEnable(data, valid))
1212a25dbb4SJay
122cf7d6b7aSMuzi  def ResultHoldBypass[T <: Data](data: T, init: T, valid: Bool): T =
123b92f8445Sssszwic    Mux(valid, data, RegEnable(data, init, valid))
124b92f8445Sssszwic
125b1ded4e8Sguohongyu  def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool = {
126b1ded4e8Sguohongyu    val bit = RegInit(false.B)
127cf7d6b7aSMuzi    when(flush)(bit := false.B)
128cf7d6b7aSMuzi      .elsewhen(valid && !release)(bit := true.B)
129cf7d6b7aSMuzi      .elsewhen(release)(bit := false.B)
130b1ded4e8Sguohongyu    bit || valid
131b1ded4e8Sguohongyu  }
132b1ded4e8Sguohongyu
1335470b21eSguohongyu  def blockCounter(block: Bool, flush: Bool, threshold: Int): Bool = {
1345470b21eSguohongyu    val counter = RegInit(0.U(log2Up(threshold + 1).W))
135cf7d6b7aSMuzi    when(block)(counter := counter + 1.U)
136cf7d6b7aSMuzi    when(flush)(counter := 0.U)
1375470b21eSguohongyu    counter > threshold.U
1385470b21eSguohongyu  }
1395470b21eSguohongyu
140cf7d6b7aSMuzi  def InitQueue[T <: Data](entry: T, size: Int): Vec[T] =
14158c354d0Sssszwic    return RegInit(VecInit(Seq.fill(size)(0.U.asTypeOf(entry.cloneType))))
14258c354d0Sssszwic
1438966a895Sxu_zh  def encodeMetaECC(meta: UInt): UInt = {
1448966a895Sxu_zh    require(meta.getWidth == ICacheMetaBits)
1458966a895Sxu_zh    val code = cacheParams.tagCode.encode(meta) >> ICacheMetaBits
1468966a895Sxu_zh    code.asTypeOf(UInt(ICacheMetaCodeBits.W))
1478966a895Sxu_zh  }
1488966a895Sxu_zh
1498966a895Sxu_zh  def encodeDataECC(data: UInt): UInt = {
1508966a895Sxu_zh    require(data.getWidth == ICacheDataBits)
1518966a895Sxu_zh    val datas = data.asTypeOf(Vec(ICacheDataCodeSegs, UInt((ICacheDataBits / ICacheDataCodeSegs).W)))
1528966a895Sxu_zh    val codes = VecInit(datas.map(cacheParams.dataCode.encode(_) >> (ICacheDataBits / ICacheDataCodeSegs)))
1538966a895Sxu_zh    codes.asTypeOf(UInt(ICacheDataCodeBits.W))
154b92f8445Sssszwic  }
15558c354d0Sssszwic
156b92f8445Sssszwic  def getBankSel(blkOffset: UInt, valid: Bool = true.B): Vec[UInt] = {
157b92f8445Sssszwic    val bankIdxLow  = Cat(0.U(1.W), blkOffset) >> log2Ceil(blockBytes / ICacheDataBanks)
158b92f8445Sssszwic    val bankIdxHigh = (Cat(0.U(1.W), blkOffset) + 32.U) >> log2Ceil(blockBytes / ICacheDataBanks)
159b92f8445Sssszwic    val bankSel     = VecInit((0 until ICacheDataBanks * 2).map(i => (i.U >= bankIdxLow) && (i.U <= bankIdxHigh)))
160cf7d6b7aSMuzi    assert(
161cf7d6b7aSMuzi      !valid || PopCount(bankSel) === ICacheBankVisitNum.U,
162cf7d6b7aSMuzi      "The number of bank visits must be %d, but bankSel=0x%x",
163cf7d6b7aSMuzi      ICacheBankVisitNum.U,
164cf7d6b7aSMuzi      bankSel.asUInt
165cf7d6b7aSMuzi    )
166b92f8445Sssszwic    bankSel.asTypeOf(UInt((ICacheDataBanks * 2).W)).asTypeOf(Vec(2, UInt(ICacheDataBanks.W)))
167b92f8445Sssszwic  }
168b92f8445Sssszwic
169b92f8445Sssszwic  def getLineSel(blkOffset: UInt)(implicit p: Parameters): Vec[Bool] = {
170b92f8445Sssszwic    val bankIdxLow = blkOffset >> log2Ceil(blockBytes / ICacheDataBanks)
171b92f8445Sssszwic    val lineSel    = VecInit((0 until ICacheDataBanks).map(i => i.U < bankIdxLow))
172b92f8445Sssszwic    lineSel
173b92f8445Sssszwic  }
174b92f8445Sssszwic
175b92f8445Sssszwic  def getBlkAddr(addr:           UInt) = addr >> blockOffBits
1768966a895Sxu_zh  def getPhyTagFromBlk(addr:     UInt): UInt = addr >> (pgUntagBits - blockOffBits)
177b92f8445Sssszwic  def getIdxFromBlk(addr:        UInt) = addr(idxBits - 1, 0)
178b92f8445Sssszwic  def get_paddr_from_ptag(vaddr: UInt, ptag: UInt) = Cat(ptag, vaddr(pgUntagBits - 1, 0))
1791d8f4dcbSJay}
1801d8f4dcbSJay
1811d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle
1821d8f4dcbSJay    with HasICacheParameters
1831d8f4dcbSJay
1841d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule
1851d8f4dcbSJay    with HasICacheParameters
1861d8f4dcbSJay
1871d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule
1881d8f4dcbSJay    with HasICacheParameters
1891d8f4dcbSJay
1901d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle {
1911d8f4dcbSJay  val tag = UInt(tagBits.W)
1921d8f4dcbSJay}
1931d8f4dcbSJay
1941d8f4dcbSJayobject ICacheMetadata {
1954da04e5bSguohongyu  def apply(tag: Bits)(implicit p: Parameters) = {
1969442775eSguohongyu    val meta = Wire(new ICacheMetadata)
1971d8f4dcbSJay    meta.tag := tag
1981d8f4dcbSJay    meta
1991d8f4dcbSJay  }
2001d8f4dcbSJay}
2011d8f4dcbSJay
202cf7d6b7aSMuziclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray {
2038966a895Sxu_zh  class ICacheMetaEntry(implicit p: Parameters) extends ICacheBundle {
2048966a895Sxu_zh    val meta: ICacheMetadata = new ICacheMetadata
2058966a895Sxu_zh    val code: UInt           = UInt(ICacheMetaCodeBits.W)
2068966a895Sxu_zh  }
2071d8f4dcbSJay
2088966a895Sxu_zh  private object ICacheMetaEntry {
2098966a895Sxu_zh    def apply(meta: ICacheMetadata)(implicit p: Parameters): ICacheMetaEntry = {
2108966a895Sxu_zh      val entry = Wire(new ICacheMetaEntry)
2118966a895Sxu_zh      entry.meta := meta
2128966a895Sxu_zh      entry.code := encodeMetaECC(meta.asUInt)
2138966a895Sxu_zh      entry
2148966a895Sxu_zh    }
2158966a895Sxu_zh  }
2168966a895Sxu_zh
2178966a895Sxu_zh  // sanity check
2188966a895Sxu_zh  require(ICacheMetaEntryBits == (new ICacheMetaEntry).getWidth)
2198966a895Sxu_zh
2208966a895Sxu_zh  val io = IO(new Bundle {
2211d8f4dcbSJay    val write    = Flipped(DecoupledIO(new ICacheMetaWriteBundle))
222afed18b5SJenius    val read     = Flipped(DecoupledIO(new ICacheReadBundle))
2231d8f4dcbSJay    val readResp = Output(new ICacheMetaRespBundle)
2242a6078bfSguohongyu    val fencei   = Input(Bool())
2258966a895Sxu_zh  })
226afed18b5SJenius
227afed18b5SJenius  val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0)
228afed18b5SJenius  val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0)
229afed18b5SJenius  val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
230afed18b5SJenius  val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
231afed18b5SJenius
232b92f8445Sssszwic  val port_0_read_0_reg = RegEnable(port_0_read_0, 0.U.asTypeOf(port_0_read_0), io.read.fire)
233b92f8445Sssszwic  val port_0_read_1_reg = RegEnable(port_0_read_1, 0.U.asTypeOf(port_0_read_1), io.read.fire)
234b92f8445Sssszwic  val port_1_read_1_reg = RegEnable(port_1_read_1, 0.U.asTypeOf(port_1_read_1), io.read.fire)
235b92f8445Sssszwic  val port_1_read_0_reg = RegEnable(port_1_read_0, 0.U.asTypeOf(port_1_read_0), io.read.fire)
236afed18b5SJenius
237afed18b5SJenius  val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
238afed18b5SJenius  val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
239afed18b5SJenius  val bank_idx   = Seq(bank_0_idx, bank_1_idx)
240afed18b5SJenius
241afed18b5SJenius  val write_bank_0 = io.write.valid && !io.write.bits.bankIdx
242afed18b5SJenius  val write_bank_1 = io.write.valid && io.write.bits.bankIdx
2431d8f4dcbSJay
244cf7d6b7aSMuzi  val write_meta_bits = ICacheMetaEntry(meta =
245cf7d6b7aSMuzi    ICacheMetadata(
2468966a895Sxu_zh      tag = io.write.bits.phyTag
247cf7d6b7aSMuzi    )
248cf7d6b7aSMuzi  )
2491d8f4dcbSJay
250afed18b5SJenius  val tagArrays = (0 until 2) map { bank =>
251afed18b5SJenius    val tagArray = Module(new SRAMTemplate(
2528966a895Sxu_zh      new ICacheMetaEntry(),
253afed18b5SJenius      set = nSets / 2,
254afed18b5SJenius      way = nWays,
255afed18b5SJenius      shouldReset = true,
256afed18b5SJenius      holdRead = true,
257*39d55402Spengxiao      singlePort = true,
258*39d55402Spengxiao      withClockGate = true
2591d8f4dcbSJay    ))
2601d8f4dcbSJay
261afed18b5SJenius    // meta connection
262afed18b5SJenius    if (bank == 0) {
263afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0
264afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx = bank_0_idx(highestIdxBit, 1))
265afed18b5SJenius      tagArray.io.w.req.valid := write_bank_0
266cf7d6b7aSMuzi      tagArray.io.w.req.bits.apply(
267cf7d6b7aSMuzi        data = write_meta_bits,
268cf7d6b7aSMuzi        setIdx = io.write.bits.virIdx(highestIdxBit, 1),
269cf7d6b7aSMuzi        waymask = io.write.bits.waymask
270cf7d6b7aSMuzi      )
271cf7d6b7aSMuzi    } else {
272afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1
273afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx = bank_1_idx(highestIdxBit, 1))
274afed18b5SJenius      tagArray.io.w.req.valid := write_bank_1
275cf7d6b7aSMuzi      tagArray.io.w.req.bits.apply(
276cf7d6b7aSMuzi        data = write_meta_bits,
277cf7d6b7aSMuzi        setIdx = io.write.bits.virIdx(highestIdxBit, 1),
278cf7d6b7aSMuzi        waymask = io.write.bits.waymask
279cf7d6b7aSMuzi      )
280afed18b5SJenius    }
2811d8f4dcbSJay
2821d8f4dcbSJay    tagArray
2831d8f4dcbSJay  }
284b37bce8eSJinYue
285b92f8445Sssszwic  val read_set_idx_next = RegEnable(io.read.bits.vSetIdx, 0.U.asTypeOf(io.read.bits.vSetIdx), io.read.fire)
2869442775eSguohongyu  val valid_array       = RegInit(VecInit(Seq.fill(nWays)(0.U(nSets.W))))
28760672d5eSguohongyu  val valid_metas       = Wire(Vec(PortNumber, Vec(nWays, Bool())))
28860672d5eSguohongyu  // valid read
28960672d5eSguohongyu  (0 until PortNumber).foreach(i =>
29060672d5eSguohongyu    (0 until nWays).foreach(way =>
29160672d5eSguohongyu      valid_metas(i)(way) := valid_array(way)(read_set_idx_next(i))
292cf7d6b7aSMuzi    )
293cf7d6b7aSMuzi  )
29460672d5eSguohongyu  io.readResp.entryValid := valid_metas
29560672d5eSguohongyu
2962a6078bfSguohongyu  io.read.ready := !io.write.valid && !io.fencei && tagArrays.map(_.io.r.req.ready).reduce(_ && _)
297afed18b5SJenius
29860672d5eSguohongyu  // valid write
29960672d5eSguohongyu  val way_num = OHToUInt(io.write.bits.waymask)
30060672d5eSguohongyu  when(io.write.valid) {
3019442775eSguohongyu    valid_array(way_num) := valid_array(way_num).bitSet(io.write.bits.virIdx, true.B)
30260672d5eSguohongyu  }
3031d8f4dcbSJay
3049442775eSguohongyu  XSPerfAccumulate("meta_refill_num", io.write.valid)
3059442775eSguohongyu
3068966a895Sxu_zh  io.readResp.metas <> DontCare
3078966a895Sxu_zh  io.readResp.codes <> DontCare
308cf7d6b7aSMuzi  val readMetaEntries = tagArrays.map(port => port.io.r.resp.asTypeOf(Vec(nWays, new ICacheMetaEntry())))
3098966a895Sxu_zh  val readMetas       = readMetaEntries.map(_.map(_.meta))
3108966a895Sxu_zh  val readCodes       = readMetaEntries.map(_.map(_.code))
3118966a895Sxu_zh
3128966a895Sxu_zh  // TEST: force ECC to fail by setting readCodes to 0
3138966a895Sxu_zh  if (ICacheForceMetaECCError) {
3148966a895Sxu_zh    readCodes.foreach(_.foreach(_ := 0.U))
3158966a895Sxu_zh  }
3168966a895Sxu_zh
3171d8f4dcbSJay  when(port_0_read_0_reg) {
3188966a895Sxu_zh    io.readResp.metas(0) := readMetas(0)
3198966a895Sxu_zh    io.readResp.codes(0) := readCodes(0)
3201d8f4dcbSJay  }.elsewhen(port_0_read_1_reg) {
3218966a895Sxu_zh    io.readResp.metas(0) := readMetas(1)
3228966a895Sxu_zh    io.readResp.codes(0) := readCodes(1)
3231d8f4dcbSJay  }
3241d8f4dcbSJay
3251d8f4dcbSJay  when(port_1_read_0_reg) {
3268966a895Sxu_zh    io.readResp.metas(1) := readMetas(0)
3278966a895Sxu_zh    io.readResp.codes(1) := readCodes(0)
3281d8f4dcbSJay  }.elsewhen(port_1_read_1_reg) {
3298966a895Sxu_zh    io.readResp.metas(1) := readMetas(1)
3308966a895Sxu_zh    io.readResp.codes(1) := readCodes(1)
3311d8f4dcbSJay  }
3321d8f4dcbSJay
3330c26d810Sguohongyu  io.write.ready := true.B // TODO : has bug ? should be !io.cacheOp.req.valid
3342a6078bfSguohongyu
3352a6078bfSguohongyu  // fencei logic : reset valid_array
3362a6078bfSguohongyu  when(io.fencei) {
3372a6078bfSguohongyu    (0 until nWays).foreach(way =>
3382a6078bfSguohongyu      valid_array(way) := 0.U
3392a6078bfSguohongyu    )
3402a6078bfSguohongyu  }
3411d8f4dcbSJay}
3421d8f4dcbSJay
343cf7d6b7aSMuziclass ICacheDataArray(implicit p: Parameters) extends ICacheArray {
344b92f8445Sssszwic  class ICacheDataEntry(implicit p: Parameters) extends ICacheBundle {
345b92f8445Sssszwic    val data = UInt(ICacheDataBits.W)
3468966a895Sxu_zh    val code = UInt(ICacheDataCodeBits.W)
347e5f1252bSGuokai Chen  }
348b37bce8eSJinYue
349b92f8445Sssszwic  object ICacheDataEntry {
350b92f8445Sssszwic    def apply(data: UInt)(implicit p: Parameters) = {
351b92f8445Sssszwic      val entry = Wire(new ICacheDataEntry)
352b92f8445Sssszwic      entry.data := data
3538966a895Sxu_zh      entry.code := encodeDataECC(data)
354b92f8445Sssszwic      entry
355b37bce8eSJinYue    }
356b92f8445Sssszwic  }
357a61a35e0Sssszwic
358cf7d6b7aSMuzi  val io = IO {
359cf7d6b7aSMuzi    new Bundle {
3601d8f4dcbSJay      val write = Flipped(DecoupledIO(new ICacheDataWriteBundle))
361b92f8445Sssszwic      // TODO: fix hard code
362b92f8445Sssszwic      val read     = Flipped(Vec(4, DecoupledIO(new ICacheReadBundle)))
3631d8f4dcbSJay      val readResp = Output(new ICacheDataRespBundle)
364cf7d6b7aSMuzi    }
365cf7d6b7aSMuzi  }
366b92f8445Sssszwic
367a61a35e0Sssszwic  /**
368a61a35e0Sssszwic    ******************************************************************************
369a61a35e0Sssszwic    * data array
370a61a35e0Sssszwic    ******************************************************************************
371a61a35e0Sssszwic    */
372b92f8445Sssszwic  val writeDatas   = io.write.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt(ICacheDataBits.W)))
373b92f8445Sssszwic  val writeEntries = writeDatas.map(ICacheDataEntry(_).asUInt)
374b92f8445Sssszwic
375b92f8445Sssszwic  val bankSel  = getBankSel(io.read(0).bits.blkOffset, io.read(0).valid)
376b92f8445Sssszwic  val lineSel  = getLineSel(io.read(0).bits.blkOffset)
377b92f8445Sssszwic  val waymasks = io.read(0).bits.wayMask
378b92f8445Sssszwic  val masks    = Wire(Vec(nWays, Vec(ICacheDataBanks, Bool())))
379b92f8445Sssszwic  (0 until nWays).foreach { way =>
380b92f8445Sssszwic    (0 until ICacheDataBanks).foreach { bank =>
381cf7d6b7aSMuzi      masks(way)(bank) := Mux(
382cf7d6b7aSMuzi        lineSel(bank),
383cf7d6b7aSMuzi        waymasks(1)(way) && bankSel(1)(bank).asBool,
384cf7d6b7aSMuzi        waymasks(0)(way) && bankSel(0)(bank).asBool
385cf7d6b7aSMuzi      )
386b92f8445Sssszwic    }
387b92f8445Sssszwic  }
388b92f8445Sssszwic
389b92f8445Sssszwic  val dataArrays = (0 until nWays).map { way =>
390b92f8445Sssszwic    (0 until ICacheDataBanks).map { bank =>
391b92f8445Sssszwic      val sramBank = Module(new SRAMTemplateWithFixedWidth(
3928966a895Sxu_zh        UInt(ICacheDataEntryBits.W),
393a61a35e0Sssszwic        set = nSets,
394b92f8445Sssszwic        width = ICacheDataSRAMWidth,
395a61a35e0Sssszwic        shouldReset = true,
396a61a35e0Sssszwic        holdRead = true,
397*39d55402Spengxiao        singlePort = true,
398*39d55402Spengxiao        withClockGate = true
3991d8f4dcbSJay      ))
4001d8f4dcbSJay
401b92f8445Sssszwic      // read
402b92f8445Sssszwic      sramBank.io.r.req.valid := io.read(bank % 4).valid && masks(way)(bank)
403cf7d6b7aSMuzi      sramBank.io.r.req.bits.apply(setIdx =
404cf7d6b7aSMuzi        Mux(lineSel(bank), io.read(bank % 4).bits.vSetIdx(1), io.read(bank % 4).bits.vSetIdx(0))
405cf7d6b7aSMuzi      )
406b92f8445Sssszwic      // write
407b92f8445Sssszwic      sramBank.io.w.req.valid := io.write.valid && io.write.bits.waymask(way).asBool
408a61a35e0Sssszwic      sramBank.io.w.req.bits.apply(
409b92f8445Sssszwic        data = writeEntries(bank),
410a61a35e0Sssszwic        setIdx = io.write.bits.virIdx,
411b92f8445Sssszwic        // waymask is invalid when way of SRAMTemplate <= 1
412b92f8445Sssszwic        waymask = 0.U
413a61a35e0Sssszwic      )
414a61a35e0Sssszwic      sramBank
415adc7b752SJenius    }
416adc7b752SJenius  }
417adc7b752SJenius
418a61a35e0Sssszwic  /**
419a61a35e0Sssszwic    ******************************************************************************
420a61a35e0Sssszwic    * read logic
421a61a35e0Sssszwic    ******************************************************************************
422a61a35e0Sssszwic    */
423b92f8445Sssszwic  val masksReg = RegEnable(masks, 0.U.asTypeOf(masks), io.read(0).valid)
424b92f8445Sssszwic  val readDataWithCode = (0 until ICacheDataBanks).map(bank =>
425cf7d6b7aSMuzi    Mux1H(VecInit(masksReg.map(_(bank))).asTypeOf(UInt(nWays.W)), dataArrays.map(_(bank).io.r.resp.asUInt))
426cf7d6b7aSMuzi  )
427b92f8445Sssszwic  val readEntries = readDataWithCode.map(_.asTypeOf(new ICacheDataEntry()))
428b92f8445Sssszwic  val readDatas   = VecInit(readEntries.map(_.data))
429b92f8445Sssszwic  val readCodes   = VecInit(readEntries.map(_.code))
43019d62fa1SJenius
431b92f8445Sssszwic  // TEST: force ECC to fail by setting readCodes to 0
432b92f8445Sssszwic  if (ICacheForceDataECCError) {
433b92f8445Sssszwic    readCodes.foreach(_ := 0.U)
434c157cf71SGuokai Chen  }
435c157cf71SGuokai Chen
436a61a35e0Sssszwic  /**
437a61a35e0Sssszwic    ******************************************************************************
438a61a35e0Sssszwic    * IO
439a61a35e0Sssszwic    ******************************************************************************
440a61a35e0Sssszwic    */
441b92f8445Sssszwic  io.readResp.datas := readDatas
442b92f8445Sssszwic  io.readResp.codes := readCodes
4431d8f4dcbSJay  io.write.ready    := true.B
444b92f8445Sssszwic  io.read.foreach(_.ready := !io.write.valid)
4451d8f4dcbSJay}
4461d8f4dcbSJay
447b92f8445Sssszwicclass ICacheReplacer(implicit p: Parameters) extends ICacheModule {
448b92f8445Sssszwic  val io = IO(new Bundle {
449b92f8445Sssszwic    val touch  = Vec(PortNumber, Flipped(ValidIO(new ReplacerTouch)))
450b92f8445Sssszwic    val victim = Flipped(new ReplacerVictim)
451b92f8445Sssszwic  })
452b92f8445Sssszwic
453b92f8445Sssszwic  val replacers = Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets / PortNumber))
454b92f8445Sssszwic
455b92f8445Sssszwic  // touch
456b92f8445Sssszwic  val touch_sets = Seq.fill(PortNumber)(Wire(Vec(2, UInt(log2Ceil(nSets / 2).W))))
457b92f8445Sssszwic  val touch_ways = Seq.fill(PortNumber)(Wire(Vec(2, Valid(UInt(log2Ceil(nWays).W)))))
458b92f8445Sssszwic  (0 until PortNumber).foreach { i =>
459cf7d6b7aSMuzi    touch_sets(i)(0) := Mux(
460cf7d6b7aSMuzi      io.touch(i).bits.vSetIdx(0),
461cf7d6b7aSMuzi      io.touch(1).bits.vSetIdx(highestIdxBit, 1),
462cf7d6b7aSMuzi      io.touch(0).bits.vSetIdx(highestIdxBit, 1)
463cf7d6b7aSMuzi    )
464b92f8445Sssszwic    touch_ways(i)(0).bits  := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).bits.way, io.touch(0).bits.way)
465b92f8445Sssszwic    touch_ways(i)(0).valid := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).valid, io.touch(0).valid)
466b92f8445Sssszwic  }
467b92f8445Sssszwic
468b92f8445Sssszwic  // victim
469cf7d6b7aSMuzi  io.victim.way := Mux(
470cf7d6b7aSMuzi    io.victim.vSetIdx.bits(0),
471b92f8445Sssszwic    replacers(1).way(io.victim.vSetIdx.bits(highestIdxBit, 1)),
472cf7d6b7aSMuzi    replacers(0).way(io.victim.vSetIdx.bits(highestIdxBit, 1))
473cf7d6b7aSMuzi  )
474b92f8445Sssszwic
475b92f8445Sssszwic  // touch the victim in next cycle
476cf7d6b7aSMuzi  val victim_vSetIdx_reg =
477cf7d6b7aSMuzi    RegEnable(io.victim.vSetIdx.bits, 0.U.asTypeOf(io.victim.vSetIdx.bits), io.victim.vSetIdx.valid)
478b92f8445Sssszwic  val victim_way_reg = RegEnable(io.victim.way, 0.U.asTypeOf(io.victim.way), io.victim.vSetIdx.valid)
479b92f8445Sssszwic  (0 until PortNumber).foreach { i =>
480b92f8445Sssszwic    touch_sets(i)(1)       := victim_vSetIdx_reg(highestIdxBit, 1)
481b92f8445Sssszwic    touch_ways(i)(1).bits  := victim_way_reg
482b92f8445Sssszwic    touch_ways(i)(1).valid := RegNext(io.victim.vSetIdx.valid) && (victim_vSetIdx_reg(0) === i.U)
483b92f8445Sssszwic  }
484b92f8445Sssszwic
485b92f8445Sssszwic  ((replacers zip touch_sets) zip touch_ways).map { case ((r, s), w) => r.access(s, w) }
486b92f8445Sssszwic}
487b92f8445Sssszwic
488cf7d6b7aSMuziclass ICacheIO(implicit p: Parameters) extends ICacheBundle {
489f57f7f2aSYangyu Chen  val hartId       = Input(UInt(hartIdLen.W))
4902c9f4a9fSxu_zh  val ftqPrefetch  = Flipped(new FtqToPrefetchIO)
4912c9f4a9fSxu_zh  val softPrefetch = Vec(backendParams.LduCnt, Flipped(Valid(new SoftIfetchPrefetchBundle)))
4921d8f4dcbSJay  val stop         = Input(Bool())
493c5c5edaeSJenius  val fetch        = new ICacheMainPipeBundle
49450780602SJenius  val toIFU        = Output(Bool())
495b92f8445Sssszwic  val pmp          = Vec(2 * PortNumber, new ICachePMPBundle)
496b92f8445Sssszwic  val itlb         = Vec(PortNumber, new TlbRequestIO)
4971d8f4dcbSJay  val perfInfo     = Output(new ICachePerfInfo)
4980184a80eSYanqin Li  val error        = ValidIO(new L1CacheErrorInfo)
499ecccf78fSJay  /* CSR control signal */
500ecccf78fSJay  val csr_pf_enable     = Input(Bool())
501ecccf78fSJay  val csr_parity_enable = Input(Bool())
5022a6078bfSguohongyu  val fencei            = Input(Bool())
503b92f8445Sssszwic  val flush             = Input(Bool())
5041d8f4dcbSJay}
5051d8f4dcbSJay
5061d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters {
50795e60e55STang Haojin  override def shouldBeInlined: Boolean = false
5081d8f4dcbSJay
5091d8f4dcbSJay  val clientParameters = TLMasterPortParameters.v1(
5101d8f4dcbSJay    Seq(TLMasterParameters.v1(
5111d8f4dcbSJay      name = "icache",
512cf7d6b7aSMuzi      sourceId = IdRange(0, cacheParams.nFetchMshr + cacheParams.nPrefetchMshr + 1)
5131d8f4dcbSJay    )),
5141d8f4dcbSJay    requestFields = cacheParams.reqFields,
5151d8f4dcbSJay    echoFields = cacheParams.echoFields
5161d8f4dcbSJay  )
5171d8f4dcbSJay
5181d8f4dcbSJay  val clientNode = TLClientNode(Seq(clientParameters))
5191d8f4dcbSJay
5201d8f4dcbSJay  lazy val module = new ICacheImp(this)
5211d8f4dcbSJay}
5221d8f4dcbSJay
5231ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents {
5241d8f4dcbSJay  val io = IO(new ICacheIO)
5251d8f4dcbSJay
5267052722fSJay  println("ICache:")
527b92f8445Sssszwic  println("  TagECC: " + cacheParams.tagECC)
528b92f8445Sssszwic  println("  DataECC: " + cacheParams.dataECC)
5297052722fSJay  println("  ICacheSets: " + cacheParams.nSets)
5307052722fSJay  println("  ICacheWays: " + cacheParams.nWays)
531b92f8445Sssszwic  println("  PortNumber: " + cacheParams.PortNumber)
532b92f8445Sssszwic  println("  nFetchMshr: " + cacheParams.nFetchMshr)
533b92f8445Sssszwic  println("  nPrefetchMshr: " + cacheParams.nPrefetchMshr)
534b92f8445Sssszwic  println("  nWayLookupSize: " + cacheParams.nWayLookupSize)
535b92f8445Sssszwic  println("  DataCodeUnit: " + cacheParams.DataCodeUnit)
536b92f8445Sssszwic  println("  ICacheDataBanks: " + cacheParams.ICacheDataBanks)
537b92f8445Sssszwic  println("  ICacheDataSRAMWidth: " + cacheParams.ICacheDataSRAMWidth)
5387052722fSJay
5391d8f4dcbSJay  val (bus, edge) = outer.clientNode.out.head
5401d8f4dcbSJay
5411d8f4dcbSJay  val metaArray  = Module(new ICacheMetaArray)
5421d8f4dcbSJay  val dataArray  = Module(new ICacheDataArray)
5432a25dbb4SJay  val mainPipe   = Module(new ICacheMainPipe)
5441d8f4dcbSJay  val missUnit   = Module(new ICacheMissUnit(edge))
545b92f8445Sssszwic  val replacer   = Module(new ICacheReplacer)
546b92f8445Sssszwic  val prefetcher = Module(new IPrefetchPipe)
547b92f8445Sssszwic  val wayLookup  = Module(new WayLookup)
5481d8f4dcbSJay
549b92f8445Sssszwic  dataArray.io.write <> missUnit.io.data_write
550b92f8445Sssszwic  dataArray.io.read <> mainPipe.io.dataArray.toIData
551b92f8445Sssszwic  dataArray.io.readResp <> mainPipe.io.dataArray.fromIData
552cb6e5d3cSssszwic
553b92f8445Sssszwic  metaArray.io.fencei := io.fencei
554b92f8445Sssszwic  metaArray.io.write <> missUnit.io.meta_write
555b92f8445Sssszwic  metaArray.io.read <> prefetcher.io.metaRead.toIMeta
556b92f8445Sssszwic  metaArray.io.readResp <> prefetcher.io.metaRead.fromIMeta
557cb6e5d3cSssszwic
558b92f8445Sssszwic  prefetcher.io.flush             := io.flush
559b92f8445Sssszwic  prefetcher.io.csr_pf_enable     := io.csr_pf_enable
560f80535c3Sxu_zh  prefetcher.io.csr_parity_enable := io.csr_parity_enable
561b92f8445Sssszwic  prefetcher.io.MSHRResp          := missUnit.io.fetch_resp
5622c9f4a9fSxu_zh  prefetcher.io.flushFromBpu      := io.ftqPrefetch.flushFromBpu
5632c9f4a9fSxu_zh  // cache softPrefetch
5642c9f4a9fSxu_zh  private val softPrefetchValid = RegInit(false.B)
5652c9f4a9fSxu_zh  private val softPrefetch      = RegInit(0.U.asTypeOf(new IPrefetchReq))
5662c9f4a9fSxu_zh  /* FIXME:
5672c9f4a9fSxu_zh   * If there is already a pending softPrefetch request, it will be overwritten.
5682c9f4a9fSxu_zh   * Also, if there are multiple softPrefetch requests in the same cycle, only the first one will be accepted.
5692c9f4a9fSxu_zh   * We should implement a softPrefetchQueue (like ibuffer, multi-in, single-out) to solve this.
5702c9f4a9fSxu_zh   * However, the impact on performance still needs to be assessed.
5712c9f4a9fSxu_zh   * Considering that the frequency of prefetch.i may not be high, let's start with a temporary dummy solution.
5722c9f4a9fSxu_zh   */
5732c9f4a9fSxu_zh  when(io.softPrefetch.map(_.valid).reduce(_ || _)) {
5742c9f4a9fSxu_zh    softPrefetchValid := true.B
5752c9f4a9fSxu_zh    softPrefetch.fromSoftPrefetch(MuxCase(
5762c9f4a9fSxu_zh      0.U.asTypeOf(new SoftIfetchPrefetchBundle),
577cf7d6b7aSMuzi      io.softPrefetch.map(req => req.valid -> req.bits)
5782c9f4a9fSxu_zh    ))
5792c9f4a9fSxu_zh  }.elsewhen(prefetcher.io.req.fire) {
5802c9f4a9fSxu_zh    softPrefetchValid := false.B
5812c9f4a9fSxu_zh  }
5822c9f4a9fSxu_zh  // pass ftqPrefetch
5832c9f4a9fSxu_zh  private val ftqPrefetch = WireInit(0.U.asTypeOf(new IPrefetchReq))
5842c9f4a9fSxu_zh  ftqPrefetch.fromFtqICacheInfo(io.ftqPrefetch.req.bits)
5852c9f4a9fSxu_zh  // software prefetch has higher priority
5862c9f4a9fSxu_zh  prefetcher.io.req.valid                 := softPrefetchValid || io.ftqPrefetch.req.valid
5872c9f4a9fSxu_zh  prefetcher.io.req.bits                  := Mux(softPrefetchValid, softPrefetch, ftqPrefetch)
588fbdb359dSMuzi  prefetcher.io.req.bits.backendException := io.ftqPrefetch.backendException
5892c9f4a9fSxu_zh  io.ftqPrefetch.req.ready                := prefetcher.io.req.ready && !softPrefetchValid
590fd16c454SJenius
591b92f8445Sssszwic  missUnit.io.hartId := io.hartId
592b92f8445Sssszwic  missUnit.io.fencei := io.fencei
593b92f8445Sssszwic  missUnit.io.flush  := io.flush
594b92f8445Sssszwic  missUnit.io.fetch_req <> mainPipe.io.mshr.req
595b92f8445Sssszwic  missUnit.io.prefetch_req <> prefetcher.io.MSHRReq
596b92f8445Sssszwic  missUnit.io.mem_grant.valid := false.B
597b92f8445Sssszwic  missUnit.io.mem_grant.bits  := DontCare
598b92f8445Sssszwic  missUnit.io.mem_grant <> bus.d
599b92f8445Sssszwic
600b92f8445Sssszwic  mainPipe.io.flush             := io.flush
601cb6e5d3cSssszwic  mainPipe.io.respStall         := io.stop
602ecccf78fSJay  mainPipe.io.csr_parity_enable := io.csr_parity_enable
603cb6e5d3cSssszwic  mainPipe.io.hartId            := io.hartId
604b92f8445Sssszwic  mainPipe.io.mshr.resp         := missUnit.io.fetch_resp
605b92f8445Sssszwic  mainPipe.io.fetch.req <> io.fetch.req
606b92f8445Sssszwic  mainPipe.io.wayLookupRead <> wayLookup.io.read
607b92f8445Sssszwic
608b92f8445Sssszwic  wayLookup.io.flush := io.flush
609b92f8445Sssszwic  wayLookup.io.write <> prefetcher.io.wayLookupWrite
610b92f8445Sssszwic  wayLookup.io.update := missUnit.io.fetch_resp
611b92f8445Sssszwic
612b92f8445Sssszwic  replacer.io.touch <> mainPipe.io.touch
613b92f8445Sssszwic  replacer.io.victim <> missUnit.io.victim
6147052722fSJay
61561e1db30SJay  io.pmp(0) <> mainPipe.io.pmp(0)
61661e1db30SJay  io.pmp(1) <> mainPipe.io.pmp(1)
617b92f8445Sssszwic  io.pmp(2) <> prefetcher.io.pmp(0)
618b92f8445Sssszwic  io.pmp(3) <> prefetcher.io.pmp(1)
6197052722fSJay
620b92f8445Sssszwic  io.itlb(0) <> prefetcher.io.itlb(0)
621b92f8445Sssszwic  io.itlb(1) <> prefetcher.io.itlb(1)
6227052722fSJay
623cb6e5d3cSssszwic  // notify IFU that Icache pipeline is available
624cb6e5d3cSssszwic  io.toIFU    := mainPipe.io.fetch.req.ready
625cb6e5d3cSssszwic  io.perfInfo := mainPipe.io.perfInfo
6261d8f4dcbSJay
627c5c5edaeSJenius  io.fetch.resp <> mainPipe.io.fetch.resp
628d2b20d1aSTang Haojin  io.fetch.topdownIcacheMiss := mainPipe.io.fetch.topdownIcacheMiss
629d2b20d1aSTang Haojin  io.fetch.topdownItlbMiss   := mainPipe.io.fetch.topdownItlbMiss
630c5c5edaeSJenius
6311d8f4dcbSJay  bus.b.ready := false.B
6321d8f4dcbSJay  bus.c.valid := false.B
6331d8f4dcbSJay  bus.c.bits  := DontCare
6341d8f4dcbSJay  bus.e.valid := false.B
6351d8f4dcbSJay  bus.e.bits  := DontCare
6361d8f4dcbSJay
6371d8f4dcbSJay  bus.a <> missUnit.io.mem_acquire
6381d8f4dcbSJay
63958dbdfc2SJay  // Parity error port
6404da04e5bSguohongyu  val errors       = mainPipe.io.errors
641b92f8445Sssszwic  val errors_valid = errors.map(e => e.valid).reduce(_ | _)
642b3c35820Sxu_zh  io.error.bits <> RegEnable(
643b3c35820Sxu_zh    PriorityMux(errors.map(e => e.valid -> e.bits)),
644b3c35820Sxu_zh    0.U.asTypeOf(errors(0).bits),
645b3c35820Sxu_zh    errors_valid
646b3c35820Sxu_zh  )
647b92f8445Sssszwic  io.error.valid := RegNext(errors_valid, false.B)
6482a6078bfSguohongyu
649cf7d6b7aSMuzi  XSPerfAccumulate(
650cf7d6b7aSMuzi    "softPrefetch_drop_not_ready",
651cf7d6b7aSMuzi    io.softPrefetch.map(_.valid).reduce(_ || _) && softPrefetchValid && !prefetcher.io.req.fire
652cf7d6b7aSMuzi  )
6532c9f4a9fSxu_zh  XSPerfAccumulate("softPrefetch_drop_multi_req", PopCount(io.softPrefetch.map(_.valid)) > 1.U)
6542c9f4a9fSxu_zh  XSPerfAccumulate("softPrefetch_block_ftq", softPrefetchValid && io.ftqPrefetch.req.valid)
6552c9f4a9fSxu_zh
6561d8f4dcbSJay  val perfEvents = Seq(
6571d8f4dcbSJay    ("icache_miss_cnt  ", false.B),
658cf7d6b7aSMuzi    ("icache_miss_penalty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true))
6591d8f4dcbSJay  )
6601ca0e4f3SYinan Xu  generatePerfEvent()
661adc7b752SJenius}
662adc7b752SJenius
663adc7b752SJeniusclass ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
664cf7d6b7aSMuzi    extends ICacheBundle {
665cf7d6b7aSMuzi  val req = Flipped(Vec(
666cf7d6b7aSMuzi    PortNumber,
667cf7d6b7aSMuzi    Decoupled(new Bundle {
668adc7b752SJenius      val ridx = UInt((log2Ceil(nSets) - 1).W)
669cf7d6b7aSMuzi    })
670cf7d6b7aSMuzi  ))
671adc7b752SJenius  val resp = Output(new Bundle {
672adc7b752SJenius    val rdata = Vec(PortNumber, Vec(pWay, gen))
673adc7b752SJenius  })
674adc7b752SJenius}
675adc7b752SJenius
676adc7b752SJeniusclass ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
677cf7d6b7aSMuzi    extends ICacheBundle {
678adc7b752SJenius  val wdata    = gen
679adc7b752SJenius  val widx     = UInt((log2Ceil(nSets) - 1).W)
680adc7b752SJenius  val wbankidx = Bool()
681adc7b752SJenius  val wmask    = Vec(pWay, Bool())
682adc7b752SJenius}
683adc7b752SJenius
684cf7d6b7aSMuziclass ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray {
685adc7b752SJenius
686adc7b752SJenius  // including part way data
687cf7d6b7aSMuzi  val io = IO {
688cf7d6b7aSMuzi    new Bundle {
689adc7b752SJenius      val read  = new ICachePartWayReadBundle(gen, pWay)
690adc7b752SJenius      val write = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay)))
691cf7d6b7aSMuzi    }
692cf7d6b7aSMuzi  }
693adc7b752SJenius
69436638515SEaston Man  io.read.req.map(_.ready := !io.write.valid)
695adc7b752SJenius
696adc7b752SJenius  val srams = (0 until PortNumber) map { bank =>
697adc7b752SJenius    val sramBank = Module(new SRAMTemplate(
69836638515SEaston Man      gen,
699adc7b752SJenius      set = nSets / 2,
700adc7b752SJenius      way = pWay,
701adc7b752SJenius      shouldReset = true,
702adc7b752SJenius      holdRead = true,
703*39d55402Spengxiao      singlePort = true,
704*39d55402Spengxiao      withClockGate = true
705adc7b752SJenius    ))
70636638515SEaston Man
707adc7b752SJenius    sramBank.io.r.req.valid := io.read.req(bank).valid
708adc7b752SJenius    sramBank.io.r.req.bits.apply(setIdx = io.read.req(bank).bits.ridx)
70936638515SEaston Man
71036638515SEaston Man    if (bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx
71136638515SEaston Man    else sramBank.io.w.req.valid           := io.write.valid && io.write.bits.wbankidx
712cf7d6b7aSMuzi    sramBank.io.w.req.bits.apply(
713cf7d6b7aSMuzi      data = io.write.bits.wdata,
714cf7d6b7aSMuzi      setIdx = io.write.bits.widx,
715cf7d6b7aSMuzi      waymask = io.write.bits.wmask.asUInt
716cf7d6b7aSMuzi    )
71736638515SEaston Man
718adc7b752SJenius    sramBank
719adc7b752SJenius  }
720adc7b752SJenius
72136638515SEaston Man  io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_ && _))
722adc7b752SJenius
72336638515SEaston Man  io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay, gen))))
72436638515SEaston Man
7251d8f4dcbSJay}
726b92f8445Sssszwic
727b92f8445Sssszwic// Automatically partition the SRAM based on the width of the data and the desired width.
728b92f8445Sssszwic// final SRAM width = width * way
729cf7d6b7aSMuziclass SRAMTemplateWithFixedWidth[T <: Data](
730cf7d6b7aSMuzi    gen:           T,
731cf7d6b7aSMuzi    set:           Int,
732cf7d6b7aSMuzi    width:         Int,
733cf7d6b7aSMuzi    way:           Int = 1,
734cf7d6b7aSMuzi    shouldReset:   Boolean = false,
735cf7d6b7aSMuzi    holdRead:      Boolean = false,
736cf7d6b7aSMuzi    singlePort:    Boolean = false,
737*39d55402Spengxiao    bypassWrite:   Boolean = false,
738*39d55402Spengxiao    withClockGate: Boolean = false
739b92f8445Sssszwic) extends Module {
740b92f8445Sssszwic
741b92f8445Sssszwic  val dataBits  = gen.getWidth
742b92f8445Sssszwic  val bankNum   = math.ceil(dataBits.toDouble / width.toDouble).toInt
743b92f8445Sssszwic  val totalBits = bankNum * width
744b92f8445Sssszwic
745b92f8445Sssszwic  val io = IO(new Bundle {
746b92f8445Sssszwic    val r = Flipped(new SRAMReadBus(gen, set, way))
747b92f8445Sssszwic    val w = Flipped(new SRAMWriteBus(gen, set, way))
748b92f8445Sssszwic  })
749b92f8445Sssszwic
750b92f8445Sssszwic  val wordType = UInt(width.W)
751b92f8445Sssszwic  val writeDatas = (0 until bankNum).map(bank =>
752b92f8445Sssszwic    VecInit((0 until way).map(i =>
753b92f8445Sssszwic      io.w.req.bits.data(i).asTypeOf(UInt(totalBits.W)).asTypeOf(Vec(bankNum, wordType))(bank)
754b92f8445Sssszwic    ))
755b92f8445Sssszwic  )
756b92f8445Sssszwic
757b92f8445Sssszwic  val srams = (0 until bankNum) map { bank =>
758b92f8445Sssszwic    val sramBank = Module(new SRAMTemplate(
759b92f8445Sssszwic      wordType,
760b92f8445Sssszwic      set = set,
761b92f8445Sssszwic      way = way,
762b92f8445Sssszwic      shouldReset = shouldReset,
763b92f8445Sssszwic      holdRead = holdRead,
764b92f8445Sssszwic      singlePort = singlePort,
765*39d55402Spengxiao      bypassWrite = bypassWrite,
766*39d55402Spengxiao      withClockGate = withClockGate
767b92f8445Sssszwic    ))
768b92f8445Sssszwic    // read req
769b92f8445Sssszwic    sramBank.io.r.req.valid       := io.r.req.valid
770b92f8445Sssszwic    sramBank.io.r.req.bits.setIdx := io.r.req.bits.setIdx
771b92f8445Sssszwic
772b92f8445Sssszwic    // write req
773b92f8445Sssszwic    sramBank.io.w.req.valid       := io.w.req.valid
774b92f8445Sssszwic    sramBank.io.w.req.bits.setIdx := io.w.req.bits.setIdx
775b92f8445Sssszwic    sramBank.io.w.req.bits.data   := writeDatas(bank)
776b92f8445Sssszwic    sramBank.io.w.req.bits.waymask.map(_ := io.w.req.bits.waymask.get)
777b92f8445Sssszwic
778b92f8445Sssszwic    sramBank
779b92f8445Sssszwic  }
780b92f8445Sssszwic
781b92f8445Sssszwic  io.r.req.ready := !io.w.req.valid
782b92f8445Sssszwic  (0 until way).foreach { i =>
783b92f8445Sssszwic    io.r.resp.data(i) := VecInit((0 until bankNum).map(bank =>
784b92f8445Sssszwic      srams(bank).io.r.resp.data(i)
785b92f8445Sssszwic    )).asTypeOf(UInt(totalBits.W))(dataBits - 1, 0).asTypeOf(gen.cloneType)
786b92f8445Sssszwic  }
787b92f8445Sssszwic
788b92f8445Sssszwic  io.r.req.ready := srams.head.io.r.req.ready
789b92f8445Sssszwic  io.w.req.ready := srams.head.io.w.req.ready
790b92f8445Sssszwic}
791