xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICache.scala (revision 2c9f4a9f8b3a5df2c18c0b59e73304da0eee610c)
11d8f4dcbSJay/***************************************************************************************
2e3da8badSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3e3da8badSTang Haojin* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
41d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory
51d8f4dcbSJay*
61d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2.
71d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2.
81d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at:
91d8f4dcbSJay*          http://license.coscl.org.cn/MulanPSL2
101d8f4dcbSJay*
111d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
121d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
131d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
141d8f4dcbSJay*
151d8f4dcbSJay* See the Mulan PSL v2 for more details.
161d8f4dcbSJay***************************************************************************************/
171d8f4dcbSJay
181d8f4dcbSJaypackage  xiangshan.frontend.icache
191d8f4dcbSJay
201d8f4dcbSJayimport chisel3._
217f37d55fSTang Haojinimport chisel3.util._
227f37d55fSTang Haojinimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp}
231d8f4dcbSJayimport freechips.rocketchip.tilelink._
241d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase
257f37d55fSTang Haojinimport huancun.{AliasField, PrefetchField}
267f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters
273c02ee8fSwakafaimport utility._
287f37d55fSTang Haojinimport utils._
297f37d55fSTang Haojinimport xiangshan._
307f37d55fSTang Haojinimport xiangshan.cache._
317f37d55fSTang Haojinimport xiangshan.cache.mmu.TlbRequestIO
327f37d55fSTang Haojinimport xiangshan.frontend._
331d8f4dcbSJay
341d8f4dcbSJaycase class ICacheParameters(
351d8f4dcbSJay    nSets: Int = 256,
3676b0dfefSGuokai Chen    nWays: Int = 4,
371d8f4dcbSJay    rowBits: Int = 64,
381d8f4dcbSJay    nTLBEntries: Int = 32,
391d8f4dcbSJay    tagECC: Option[String] = None,
401d8f4dcbSJay    dataECC: Option[String] = None,
411d8f4dcbSJay    replacer: Option[String] = Some("random"),
42b92f8445Sssszwic
43b92f8445Sssszwic    PortNumber: Int = 2,
44b92f8445Sssszwic    nFetchMshr: Int = 4,
45b92f8445Sssszwic    nPrefetchMshr: Int = 10,
46b92f8445Sssszwic    nWayLookupSize: Int = 32,
47b92f8445Sssszwic    DataCodeUnit: Int = 64,
48b92f8445Sssszwic    ICacheDataBanks: Int = 8,
49b92f8445Sssszwic    ICacheDataSRAMWidth: Int = 66,
50b92f8445Sssszwic    // TODO: hard code, need delete
51b92f8445Sssszwic    partWayNum: Int = 4,
5258c354d0Sssszwic
531d8f4dcbSJay    nMMIOs: Int = 1,
541d8f4dcbSJay    blockBytes: Int = 64
551d8f4dcbSJay)extends L1CacheParameters {
561d8f4dcbSJay
571d8f4dcbSJay  val setBytes = nSets * blockBytes
58cb93f2f2Sguohongyu  val aliasBitsOpt = DCacheParameters().aliasBitsOpt //if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None
591d8f4dcbSJay  val reqFields: Seq[BundleFieldBase] = Seq(
60d2b20d1aSTang Haojin    PrefetchField(),
61d2b20d1aSTang Haojin    ReqSourceField()
621d8f4dcbSJay  ) ++ aliasBitsOpt.map(AliasField)
6315ee59e4Swakafa  val echoFields: Seq[BundleFieldBase] = Nil
641d8f4dcbSJay  def tagCode: Code = Code.fromString(tagECC)
651d8f4dcbSJay  def dataCode: Code = Code.fromString(dataECC)
661d8f4dcbSJay  def replacement = ReplacementPolicy.fromString(replacer,nWays,nSets)
671d8f4dcbSJay}
681d8f4dcbSJay
691d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst{
701d8f4dcbSJay  val cacheParams = icacheParameters
711d8f4dcbSJay
72b92f8445Sssszwic  def ICacheSets            = cacheParams.nSets
73b92f8445Sssszwic  def ICacheWays            = cacheParams.nWays
74b92f8445Sssszwic  def PortNumber            = cacheParams.PortNumber
75b92f8445Sssszwic  def nFetchMshr            = cacheParams.nFetchMshr
76b92f8445Sssszwic  def nPrefetchMshr         = cacheParams.nPrefetchMshr
77b92f8445Sssszwic  def nWayLookupSize        = cacheParams.nWayLookupSize
78b92f8445Sssszwic  def DataCodeUnit          = cacheParams.DataCodeUnit
79b92f8445Sssszwic  def ICacheDataBanks       = cacheParams.ICacheDataBanks
80b92f8445Sssszwic  def ICacheDataSRAMWidth   = cacheParams.ICacheDataSRAMWidth
81b92f8445Sssszwic  def partWayNum            = cacheParams.partWayNum
82b92f8445Sssszwic
83b92f8445Sssszwic  def ICacheDataBits        = blockBits / ICacheDataBanks
84b92f8445Sssszwic  def ICacheCodeBits        = math.ceil(ICacheDataBits / DataCodeUnit).toInt
85b92f8445Sssszwic  def ICacheEntryBits       = ICacheDataBits + ICacheCodeBits
86b92f8445Sssszwic  def ICacheBankVisitNum    = 32 * 8 / ICacheDataBits + 1
871d8f4dcbSJay  def highestIdxBit         = log2Ceil(nSets) - 1
881d8f4dcbSJay
89b92f8445Sssszwic  require((ICacheDataBanks >= 2) && isPow2(ICacheDataBanks))
90b92f8445Sssszwic  require(ICacheDataSRAMWidth >= ICacheEntryBits)
91b92f8445Sssszwic  require(isPow2(ICacheSets), s"nSets($ICacheSets) must be pow2")
92b92f8445Sssszwic  require(isPow2(ICacheWays), s"nWays($ICacheWays) must be pow2")
931d8f4dcbSJay
94adc7b752SJenius  def getBits(num: Int) = log2Ceil(num).W
95adc7b752SJenius
962a25dbb4SJay  def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = {
972a25dbb4SJay    val valid  = RegInit(false.B)
982a25dbb4SJay    when(thisFlush)                    {valid  := false.B}
992a25dbb4SJay      .elsewhen(lastFire && !lastFlush)  {valid  := true.B}
1002a25dbb4SJay      .elsewhen(thisFire)                 {valid  := false.B}
1012a25dbb4SJay    valid
1022a25dbb4SJay  }
1032a25dbb4SJay
1042a25dbb4SJay  def ResultHoldBypass[T<:Data](data: T, valid: Bool): T = {
1052a25dbb4SJay    Mux(valid, data, RegEnable(data, valid))
1062a25dbb4SJay  }
1072a25dbb4SJay
108b92f8445Sssszwic  def ResultHoldBypass[T <: Data](data: T, init: T, valid: Bool): T = {
109b92f8445Sssszwic    Mux(valid, data, RegEnable(data, init, valid))
110b92f8445Sssszwic  }
111b92f8445Sssszwic
112b1ded4e8Sguohongyu  def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool ={
113b1ded4e8Sguohongyu    val bit = RegInit(false.B)
114b1ded4e8Sguohongyu    when(flush)                   { bit := false.B  }
115b1ded4e8Sguohongyu      .elsewhen(valid && !release)  { bit := true.B   }
116b1ded4e8Sguohongyu      .elsewhen(release)            { bit := false.B  }
117b1ded4e8Sguohongyu    bit || valid
118b1ded4e8Sguohongyu  }
119b1ded4e8Sguohongyu
1205470b21eSguohongyu  def blockCounter(block: Bool, flush: Bool, threshold: Int): Bool = {
1215470b21eSguohongyu    val counter = RegInit(0.U(log2Up(threshold + 1).W))
1225470b21eSguohongyu    when (block) { counter := counter + 1.U }
1235470b21eSguohongyu    when (flush) { counter := 0.U}
1245470b21eSguohongyu    counter > threshold.U
1255470b21eSguohongyu  }
1265470b21eSguohongyu
12758c354d0Sssszwic  def InitQueue[T <: Data](entry: T, size: Int): Vec[T] ={
12858c354d0Sssszwic    return RegInit(VecInit(Seq.fill(size)(0.U.asTypeOf(entry.cloneType))))
12958c354d0Sssszwic  }
13058c354d0Sssszwic
131b92f8445Sssszwic  def encode(data: UInt): UInt = {
132b92f8445Sssszwic    val datas = data.asTypeOf(Vec(ICacheCodeBits, UInt((ICacheDataBits / ICacheCodeBits).W)))
133b92f8445Sssszwic    val codes = VecInit(datas.map(cacheParams.dataCode.encode(_) >> (ICacheDataBits / ICacheCodeBits)))
134b92f8445Sssszwic    codes.asTypeOf(UInt(ICacheCodeBits.W))
135b92f8445Sssszwic  }
13658c354d0Sssszwic
137b92f8445Sssszwic  def getBankSel(blkOffset: UInt, valid: Bool = true.B): Vec[UInt] = {
138b92f8445Sssszwic    val bankIdxLow  = Cat(0.U(1.W), blkOffset) >> log2Ceil(blockBytes/ICacheDataBanks)
139b92f8445Sssszwic    val bankIdxHigh = (Cat(0.U(1.W), blkOffset) + 32.U) >> log2Ceil(blockBytes/ICacheDataBanks)
140b92f8445Sssszwic    val bankSel = VecInit((0 until ICacheDataBanks * 2).map(i => (i.U >= bankIdxLow) && (i.U <= bankIdxHigh)))
141b92f8445Sssszwic    assert(!valid || PopCount(bankSel) === ICacheBankVisitNum.U, "The number of bank visits must be %d, but bankSel=0x%x", ICacheBankVisitNum.U, bankSel.asUInt)
142b92f8445Sssszwic    bankSel.asTypeOf(UInt((ICacheDataBanks * 2).W)).asTypeOf(Vec(2, UInt(ICacheDataBanks.W)))
143b92f8445Sssszwic  }
144b92f8445Sssszwic
145b92f8445Sssszwic  def getLineSel(blkOffset: UInt)(implicit p: Parameters): Vec[Bool] = {
146b92f8445Sssszwic    val bankIdxLow  = blkOffset >> log2Ceil(blockBytes/ICacheDataBanks)
147b92f8445Sssszwic    val lineSel = VecInit((0 until ICacheDataBanks).map(i => i.U < bankIdxLow))
148b92f8445Sssszwic    lineSel
149b92f8445Sssszwic  }
150b92f8445Sssszwic
151b92f8445Sssszwic  def getBlkAddr(addr: UInt) = addr >> blockOffBits
152b92f8445Sssszwic  def getPhyTagFromBlk(addr: UInt) = addr >> (pgUntagBits - blockOffBits)
153b92f8445Sssszwic  def getIdxFromBlk(addr: UInt) = addr(idxBits - 1, 0)
154b92f8445Sssszwic  def get_paddr_from_ptag(vaddr: UInt, ptag: UInt) = Cat(ptag, vaddr(pgUntagBits - 1, 0))
1551d8f4dcbSJay}
1561d8f4dcbSJay
1571d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle
1581d8f4dcbSJay  with HasICacheParameters
1591d8f4dcbSJay
1601d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule
1611d8f4dcbSJay  with HasICacheParameters
1621d8f4dcbSJay
1631d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule
1641d8f4dcbSJay  with HasICacheParameters
1651d8f4dcbSJay
1661d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle {
1671d8f4dcbSJay  val tag = UInt(tagBits.W)
1681d8f4dcbSJay}
1691d8f4dcbSJay
1701d8f4dcbSJayobject ICacheMetadata {
1714da04e5bSguohongyu  def apply(tag: Bits)(implicit p: Parameters) = {
1729442775eSguohongyu    val meta = Wire(new ICacheMetadata)
1731d8f4dcbSJay    meta.tag := tag
1741d8f4dcbSJay    meta
1751d8f4dcbSJay  }
1761d8f4dcbSJay}
1771d8f4dcbSJay
1781d8f4dcbSJay
1791d8f4dcbSJayclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray
1801d8f4dcbSJay{
1814da04e5bSguohongyu  def onReset = ICacheMetadata(0.U)
1821d8f4dcbSJay  val metaBits = onReset.getWidth
1831d8f4dcbSJay  val metaEntryBits = cacheParams.tagCode.width(metaBits)
1841d8f4dcbSJay
1851d8f4dcbSJay  val io=IO{new Bundle{
1861d8f4dcbSJay    val write    = Flipped(DecoupledIO(new ICacheMetaWriteBundle))
187afed18b5SJenius    val read     = Flipped(DecoupledIO(new ICacheReadBundle))
1881d8f4dcbSJay    val readResp = Output(new ICacheMetaRespBundle)
1892a6078bfSguohongyu    val fencei   = Input(Bool())
1901d8f4dcbSJay  }}
1911d8f4dcbSJay
192afed18b5SJenius  io.read.ready := !io.write.valid
193afed18b5SJenius
194afed18b5SJenius  val port_0_read_0 = io.read.valid  && !io.read.bits.vSetIdx(0)(0)
195afed18b5SJenius  val port_0_read_1 = io.read.valid  &&  io.read.bits.vSetIdx(0)(0)
196afed18b5SJenius  val port_1_read_1  = io.read.valid &&  io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
197afed18b5SJenius  val port_1_read_0  = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
198afed18b5SJenius
199b92f8445Sssszwic  val port_0_read_0_reg = RegEnable(port_0_read_0, 0.U.asTypeOf(port_0_read_0), io.read.fire)
200b92f8445Sssszwic  val port_0_read_1_reg = RegEnable(port_0_read_1, 0.U.asTypeOf(port_0_read_1), io.read.fire)
201b92f8445Sssszwic  val port_1_read_1_reg = RegEnable(port_1_read_1, 0.U.asTypeOf(port_1_read_1), io.read.fire)
202b92f8445Sssszwic  val port_1_read_0_reg = RegEnable(port_1_read_0, 0.U.asTypeOf(port_1_read_0), io.read.fire)
203afed18b5SJenius
204afed18b5SJenius  val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
205afed18b5SJenius  val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
206afed18b5SJenius  val bank_idx   = Seq(bank_0_idx, bank_1_idx)
207afed18b5SJenius
208afed18b5SJenius  val write_bank_0 = io.write.valid && !io.write.bits.bankIdx
209afed18b5SJenius  val write_bank_1 = io.write.valid &&  io.write.bits.bankIdx
2101d8f4dcbSJay
2111d8f4dcbSJay  val write_meta_bits = Wire(UInt(metaEntryBits.W))
2121d8f4dcbSJay
213afed18b5SJenius  val tagArrays = (0 until 2) map { bank =>
214afed18b5SJenius    val tagArray = Module(new SRAMTemplate(
2151d8f4dcbSJay      UInt(metaEntryBits.W),
216afed18b5SJenius      set=nSets/2,
217afed18b5SJenius      way=nWays,
218afed18b5SJenius      shouldReset = true,
219afed18b5SJenius      holdRead = true,
220afed18b5SJenius      singlePort = true
2211d8f4dcbSJay    ))
2221d8f4dcbSJay
223afed18b5SJenius    //meta connection
224afed18b5SJenius    if(bank == 0) {
225afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0
226afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1))
227afed18b5SJenius      tagArray.io.w.req.valid := write_bank_0
228afed18b5SJenius      tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
229afed18b5SJenius    }
230afed18b5SJenius    else {
231afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1
232afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1))
233afed18b5SJenius      tagArray.io.w.req.valid := write_bank_1
234afed18b5SJenius      tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask)
235afed18b5SJenius    }
2361d8f4dcbSJay
2371d8f4dcbSJay    tagArray
2381d8f4dcbSJay  }
239b37bce8eSJinYue
240b92f8445Sssszwic  val read_set_idx_next = RegEnable(io.read.bits.vSetIdx, 0.U.asTypeOf(io.read.bits.vSetIdx), io.read.fire)
2419442775eSguohongyu  val valid_array = RegInit(VecInit(Seq.fill(nWays)(0.U(nSets.W))))
24260672d5eSguohongyu  val valid_metas = Wire(Vec(PortNumber, Vec(nWays, Bool())))
24360672d5eSguohongyu  // valid read
24460672d5eSguohongyu  (0 until PortNumber).foreach( i =>
24560672d5eSguohongyu    (0 until nWays).foreach( way =>
24660672d5eSguohongyu      valid_metas(i)(way) := valid_array(way)(read_set_idx_next(i))
24760672d5eSguohongyu    ))
24860672d5eSguohongyu  io.readResp.entryValid := valid_metas
24960672d5eSguohongyu
2502a6078bfSguohongyu  io.read.ready := !io.write.valid && !io.fencei && tagArrays.map(_.io.r.req.ready).reduce(_&&_)
251afed18b5SJenius
252afed18b5SJenius  //Parity Decode
2530c70648eSEaston Man  val read_fire_delay1 = RegNext(io.read.fire, init = false.B)
2540c70648eSEaston Man  val read_fire_delay2 = RegNext(read_fire_delay1, init = false.B)
2551d8f4dcbSJay  val read_metas = Wire(Vec(2,Vec(nWays,new ICacheMetadata())))
256afed18b5SJenius  for((tagArray,i) <- tagArrays.zipWithIndex){
257afed18b5SJenius    val read_meta_bits = tagArray.io.r.resp.asTypeOf(Vec(nWays,UInt(metaEntryBits.W)))
2581d8f4dcbSJay    val read_meta_decoded = read_meta_bits.map{ way_bits => cacheParams.tagCode.decode(way_bits)}
2591d8f4dcbSJay    val read_meta_wrong = read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.error}
2601d8f4dcbSJay    val read_meta_corrected = VecInit(read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.corrected})
261afed18b5SJenius    read_metas(i) := read_meta_corrected.asTypeOf(Vec(nWays,new ICacheMetadata()))
262b92f8445Sssszwic    (0 until nWays).foreach{ w => io.readResp.errors(i)(w) := RegEnable(read_meta_wrong(w), 0.U.asTypeOf(read_meta_wrong(w)), read_fire_delay1) && read_fire_delay2}
263b92f8445Sssszwic  }
264b92f8445Sssszwic
265b92f8445Sssszwic  // TEST: force ECC to fail by setting errors to true.B
266b92f8445Sssszwic  if (ICacheForceMetaECCError) {
267b92f8445Sssszwic    (0 until PortNumber).foreach( p =>
268b92f8445Sssszwic      (0 until nWays).foreach( w =>
269b92f8445Sssszwic        io.readResp.errors(p)(w) := true.B
270b92f8445Sssszwic      )
271b92f8445Sssszwic    )
2721d8f4dcbSJay  }
273afed18b5SJenius
274afed18b5SJenius  //Parity Encode
275afed18b5SJenius  val write = io.write.bits
2764da04e5bSguohongyu  write_meta_bits := cacheParams.tagCode.encode(ICacheMetadata(tag = write.phyTag).asUInt)
277afed18b5SJenius
27860672d5eSguohongyu  // valid write
27960672d5eSguohongyu  val way_num = OHToUInt(io.write.bits.waymask)
28060672d5eSguohongyu  when (io.write.valid) {
2819442775eSguohongyu    valid_array(way_num) := valid_array(way_num).bitSet(io.write.bits.virIdx, true.B)
28260672d5eSguohongyu  }
2831d8f4dcbSJay
2849442775eSguohongyu  XSPerfAccumulate("meta_refill_num", io.write.valid)
2859442775eSguohongyu
2861d8f4dcbSJay  io.readResp.metaData <> DontCare
2871d8f4dcbSJay  when(port_0_read_0_reg){
2881d8f4dcbSJay    io.readResp.metaData(0) := read_metas(0)
2891d8f4dcbSJay  }.elsewhen(port_0_read_1_reg){
2901d8f4dcbSJay    io.readResp.metaData(0) := read_metas(1)
2911d8f4dcbSJay  }
2921d8f4dcbSJay
2931d8f4dcbSJay  when(port_1_read_0_reg){
2941d8f4dcbSJay    io.readResp.metaData(1) := read_metas(0)
2951d8f4dcbSJay  }.elsewhen(port_1_read_1_reg){
2961d8f4dcbSJay    io.readResp.metaData(1) := read_metas(1)
2971d8f4dcbSJay  }
2981d8f4dcbSJay
299afed18b5SJenius
3000c26d810Sguohongyu  io.write.ready := true.B // TODO : has bug ? should be !io.cacheOp.req.valid
3012a6078bfSguohongyu
3022a6078bfSguohongyu  // fencei logic : reset valid_array
3032a6078bfSguohongyu  when (io.fencei) {
3042a6078bfSguohongyu    (0 until nWays).foreach( way =>
3052a6078bfSguohongyu      valid_array(way) := 0.U
3062a6078bfSguohongyu    )
3072a6078bfSguohongyu  }
3081d8f4dcbSJay}
3091d8f4dcbSJay
310b92f8445Sssszwic// Vec(2,Vec(nWays, Bool()))
311afed18b5SJenius
3121d8f4dcbSJayclass ICacheDataArray(implicit p: Parameters) extends ICacheArray
3131d8f4dcbSJay{
314b92f8445Sssszwic  class ICacheDataEntry(implicit p: Parameters) extends ICacheBundle {
315b92f8445Sssszwic    val data = UInt(ICacheDataBits.W)
316b92f8445Sssszwic    val code = UInt(ICacheCodeBits.W)
317e5f1252bSGuokai Chen  }
318b37bce8eSJinYue
319b92f8445Sssszwic  object ICacheDataEntry {
320b92f8445Sssszwic    def apply(data: UInt)(implicit p: Parameters) = {
321b92f8445Sssszwic      require(data.getWidth == ICacheDataBits)
322b92f8445Sssszwic      val entry = Wire(new ICacheDataEntry)
323b92f8445Sssszwic      entry.data := data
324b92f8445Sssszwic      entry.code := encode(data)
325b92f8445Sssszwic      entry
326b37bce8eSJinYue    }
327b92f8445Sssszwic  }
328a61a35e0Sssszwic
3291d8f4dcbSJay  val io=IO{new Bundle{
3301d8f4dcbSJay    val write    = Flipped(DecoupledIO(new ICacheDataWriteBundle))
331b92f8445Sssszwic    // TODO: fix hard code
332b92f8445Sssszwic    val read     = Flipped(Vec(4, DecoupledIO(new ICacheReadBundle)))
3331d8f4dcbSJay    val readResp = Output(new ICacheDataRespBundle)
3341d8f4dcbSJay  }}
335b92f8445Sssszwic
336a61a35e0Sssszwic  /**
337a61a35e0Sssszwic    ******************************************************************************
338a61a35e0Sssszwic    * data array
339a61a35e0Sssszwic    ******************************************************************************
340a61a35e0Sssszwic    */
341b92f8445Sssszwic  val writeDatas   = io.write.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt(ICacheDataBits.W)))
342b92f8445Sssszwic  val writeEntries = writeDatas.map(ICacheDataEntry(_).asUInt)
343b92f8445Sssszwic
344b92f8445Sssszwic  val bankSel = getBankSel(io.read(0).bits.blkOffset, io.read(0).valid)
345b92f8445Sssszwic  val lineSel = getLineSel(io.read(0).bits.blkOffset)
346b92f8445Sssszwic  val waymasks = io.read(0).bits.wayMask
347b92f8445Sssszwic  val masks = Wire(Vec(nWays, Vec(ICacheDataBanks, Bool())))
348b92f8445Sssszwic  (0 until nWays).foreach{way =>
349b92f8445Sssszwic    (0 until ICacheDataBanks).foreach{bank =>
350b92f8445Sssszwic      masks(way)(bank) := Mux(lineSel(bank), waymasks(1)(way) && bankSel(1)(bank).asBool,
351b92f8445Sssszwic                                             waymasks(0)(way) && bankSel(0)(bank).asBool)
352b92f8445Sssszwic    }
353b92f8445Sssszwic  }
354b92f8445Sssszwic
355b92f8445Sssszwic  val dataArrays = (0 until nWays).map{ way =>
356b92f8445Sssszwic    (0 until ICacheDataBanks).map { bank =>
357b92f8445Sssszwic      val sramBank = Module(new SRAMTemplateWithFixedWidth(
358b92f8445Sssszwic        UInt(ICacheEntryBits.W),
359a61a35e0Sssszwic        set=nSets,
360b92f8445Sssszwic        width=ICacheDataSRAMWidth,
361a61a35e0Sssszwic        shouldReset = true,
362a61a35e0Sssszwic        holdRead = true,
363a61a35e0Sssszwic        singlePort = true
3641d8f4dcbSJay      ))
3651d8f4dcbSJay
366b92f8445Sssszwic      // read
367b92f8445Sssszwic      sramBank.io.r.req.valid := io.read(bank % 4).valid && masks(way)(bank)
368b92f8445Sssszwic      sramBank.io.r.req.bits.apply(setIdx=Mux(lineSel(bank),
369b92f8445Sssszwic                                              io.read(bank % 4).bits.vSetIdx(1),
370b92f8445Sssszwic                                              io.read(bank % 4).bits.vSetIdx(0)))
371b92f8445Sssszwic      // write
372b92f8445Sssszwic      sramBank.io.w.req.valid := io.write.valid && io.write.bits.waymask(way).asBool
373a61a35e0Sssszwic      sramBank.io.w.req.bits.apply(
374b92f8445Sssszwic        data    = writeEntries(bank),
375a61a35e0Sssszwic        setIdx  = io.write.bits.virIdx,
376b92f8445Sssszwic        // waymask is invalid when way of SRAMTemplate <= 1
377b92f8445Sssszwic        waymask = 0.U
378a61a35e0Sssszwic      )
379a61a35e0Sssszwic      sramBank
380adc7b752SJenius    }
381adc7b752SJenius  }
382adc7b752SJenius
383a61a35e0Sssszwic  /**
384a61a35e0Sssszwic    ******************************************************************************
385a61a35e0Sssszwic    * read logic
386a61a35e0Sssszwic    ******************************************************************************
387a61a35e0Sssszwic    */
388b92f8445Sssszwic  val masksReg          = RegEnable(masks, 0.U.asTypeOf(masks), io.read(0).valid)
389b92f8445Sssszwic  val readDataWithCode  = (0 until ICacheDataBanks).map(bank =>
390b92f8445Sssszwic                            Mux1H(VecInit(masksReg.map(_(bank))).asTypeOf(UInt(nWays.W)),
391b92f8445Sssszwic                                  dataArrays.map(_(bank).io.r.resp.asUInt)))
392b92f8445Sssszwic  val readEntries       = readDataWithCode.map(_.asTypeOf(new ICacheDataEntry()))
393b92f8445Sssszwic  val readDatas         = VecInit(readEntries.map(_.data))
394b92f8445Sssszwic  val readCodes         = VecInit(readEntries.map(_.code))
39519d62fa1SJenius
396b92f8445Sssszwic  // TEST: force ECC to fail by setting readCodes to 0
397b92f8445Sssszwic  if (ICacheForceDataECCError) {
398b92f8445Sssszwic    readCodes.foreach(_ := 0.U)
399c157cf71SGuokai Chen  }
400c157cf71SGuokai Chen
401a61a35e0Sssszwic  /**
402a61a35e0Sssszwic    ******************************************************************************
403a61a35e0Sssszwic    * IO
404a61a35e0Sssszwic    ******************************************************************************
405a61a35e0Sssszwic    */
406b92f8445Sssszwic  io.readResp.datas   := readDatas
407b92f8445Sssszwic  io.readResp.codes   := readCodes
4081d8f4dcbSJay  io.write.ready      := true.B
409b92f8445Sssszwic  io.read.foreach( _.ready := !io.write.valid)
4101d8f4dcbSJay}
4111d8f4dcbSJay
4121d8f4dcbSJay
413b92f8445Sssszwicclass ICacheReplacer(implicit p: Parameters) extends ICacheModule {
414b92f8445Sssszwic  val io = IO(new Bundle {
415b92f8445Sssszwic    val touch   = Vec(PortNumber, Flipped(ValidIO(new ReplacerTouch)))
416b92f8445Sssszwic    val victim  = Flipped(new ReplacerVictim)
417b92f8445Sssszwic  })
418b92f8445Sssszwic
419b92f8445Sssszwic  val replacers = Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer,nWays,nSets/PortNumber))
420b92f8445Sssszwic
421b92f8445Sssszwic  // touch
422b92f8445Sssszwic  val touch_sets = Seq.fill(PortNumber)(Wire(Vec(2, UInt(log2Ceil(nSets/2).W))))
423b92f8445Sssszwic  val touch_ways = Seq.fill(PortNumber)(Wire(Vec(2, Valid(UInt(log2Ceil(nWays).W)))))
424b92f8445Sssszwic  (0 until PortNumber).foreach {i =>
425b92f8445Sssszwic    touch_sets(i)(0)        := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).bits.vSetIdx(highestIdxBit, 1), io.touch(0).bits.vSetIdx(highestIdxBit, 1))
426b92f8445Sssszwic    touch_ways(i)(0).bits   := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).bits.way, io.touch(0).bits.way)
427b92f8445Sssszwic    touch_ways(i)(0).valid  := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).valid, io.touch(0).valid)
428b92f8445Sssszwic  }
429b92f8445Sssszwic
430b92f8445Sssszwic  // victim
431b92f8445Sssszwic  io.victim.way := Mux(io.victim.vSetIdx.bits(0),
432b92f8445Sssszwic                       replacers(1).way(io.victim.vSetIdx.bits(highestIdxBit, 1)),
433b92f8445Sssszwic                       replacers(0).way(io.victim.vSetIdx.bits(highestIdxBit, 1)))
434b92f8445Sssszwic
435b92f8445Sssszwic  // touch the victim in next cycle
436b92f8445Sssszwic  val victim_vSetIdx_reg = RegEnable(io.victim.vSetIdx.bits, 0.U.asTypeOf(io.victim.vSetIdx.bits), io.victim.vSetIdx.valid)
437b92f8445Sssszwic  val victim_way_reg     = RegEnable(io.victim.way,          0.U.asTypeOf(io.victim.way),          io.victim.vSetIdx.valid)
438b92f8445Sssszwic  (0 until PortNumber).foreach {i =>
439b92f8445Sssszwic    touch_sets(i)(1)        := victim_vSetIdx_reg(highestIdxBit, 1)
440b92f8445Sssszwic    touch_ways(i)(1).bits   := victim_way_reg
441b92f8445Sssszwic    touch_ways(i)(1).valid  := RegNext(io.victim.vSetIdx.valid) && (victim_vSetIdx_reg(0) === i.U)
442b92f8445Sssszwic  }
443b92f8445Sssszwic
444b92f8445Sssszwic  ((replacers zip touch_sets) zip touch_ways).map{case ((r, s),w) => r.access(s,w)}
445b92f8445Sssszwic}
446b92f8445Sssszwic
4471d8f4dcbSJayclass ICacheIO(implicit p: Parameters) extends ICacheBundle
4481d8f4dcbSJay{
449f57f7f2aSYangyu Chen  val hartId      = Input(UInt(hartIdLen.W))
450*2c9f4a9fSxu_zh  val ftqPrefetch  = Flipped(new FtqToPrefetchIO)
451*2c9f4a9fSxu_zh  val softPrefetch = Vec(backendParams.LduCnt, Flipped(Valid(new SoftIfetchPrefetchBundle)))
4521d8f4dcbSJay  val stop        = Input(Bool())
453c5c5edaeSJenius  val fetch       = new ICacheMainPipeBundle
45450780602SJenius  val toIFU       = Output(Bool())
455b92f8445Sssszwic  val pmp         = Vec(2 * PortNumber, new ICachePMPBundle)
456b92f8445Sssszwic  val itlb        = Vec(PortNumber, new TlbRequestIO)
4571d8f4dcbSJay  val perfInfo    = Output(new ICachePerfInfo)
4580184a80eSYanqin Li  val error       = ValidIO(new L1CacheErrorInfo)
459ecccf78fSJay  /* CSR control signal */
460ecccf78fSJay  val csr_pf_enable = Input(Bool())
461ecccf78fSJay  val csr_parity_enable = Input(Bool())
4622a6078bfSguohongyu  val fencei      = Input(Bool())
463b92f8445Sssszwic  val flush       = Input(Bool())
4641d8f4dcbSJay}
4651d8f4dcbSJay
4661d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters {
46795e60e55STang Haojin  override def shouldBeInlined: Boolean = false
4681d8f4dcbSJay
4691d8f4dcbSJay  val clientParameters = TLMasterPortParameters.v1(
4701d8f4dcbSJay    Seq(TLMasterParameters.v1(
4711d8f4dcbSJay      name = "icache",
472b92f8445Sssszwic      sourceId = IdRange(0, cacheParams.nFetchMshr + cacheParams.nPrefetchMshr + 1),
4731d8f4dcbSJay    )),
4741d8f4dcbSJay    requestFields = cacheParams.reqFields,
4751d8f4dcbSJay    echoFields = cacheParams.echoFields
4761d8f4dcbSJay  )
4771d8f4dcbSJay
4781d8f4dcbSJay  val clientNode = TLClientNode(Seq(clientParameters))
4791d8f4dcbSJay
4801d8f4dcbSJay  lazy val module = new ICacheImp(this)
4811d8f4dcbSJay}
4821d8f4dcbSJay
4831ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents {
4841d8f4dcbSJay  val io = IO(new ICacheIO)
4851d8f4dcbSJay
4867052722fSJay  println("ICache:")
487b92f8445Sssszwic  println("  TagECC: "                + cacheParams.tagECC)
488b92f8445Sssszwic  println("  DataECC: "               + cacheParams.dataECC)
4897052722fSJay  println("  ICacheSets: "            + cacheParams.nSets)
4907052722fSJay  println("  ICacheWays: "            + cacheParams.nWays)
491b92f8445Sssszwic  println("  PortNumber: "            + cacheParams.PortNumber)
492b92f8445Sssszwic  println("  nFetchMshr: "            + cacheParams.nFetchMshr)
493b92f8445Sssszwic  println("  nPrefetchMshr: "         + cacheParams.nPrefetchMshr)
494b92f8445Sssszwic  println("  nWayLookupSize: "        + cacheParams.nWayLookupSize)
495b92f8445Sssszwic  println("  DataCodeUnit: "          + cacheParams.DataCodeUnit)
496b92f8445Sssszwic  println("  ICacheDataBanks: "       + cacheParams.ICacheDataBanks)
497b92f8445Sssszwic  println("  ICacheDataSRAMWidth: "   + cacheParams.ICacheDataSRAMWidth)
4987052722fSJay
4991d8f4dcbSJay  val (bus, edge) = outer.clientNode.out.head
5001d8f4dcbSJay
5011d8f4dcbSJay  val metaArray         = Module(new ICacheMetaArray)
5021d8f4dcbSJay  val dataArray         = Module(new ICacheDataArray)
5032a25dbb4SJay  val mainPipe          = Module(new ICacheMainPipe)
5041d8f4dcbSJay  val missUnit          = Module(new ICacheMissUnit(edge))
505b92f8445Sssszwic  val replacer          = Module(new ICacheReplacer)
506b92f8445Sssszwic  val prefetcher        = Module(new IPrefetchPipe)
507b92f8445Sssszwic  val wayLookup         = Module(new WayLookup)
5081d8f4dcbSJay
509b92f8445Sssszwic  dataArray.io.write    <> missUnit.io.data_write
510b92f8445Sssszwic  dataArray.io.read     <> mainPipe.io.dataArray.toIData
511b92f8445Sssszwic  dataArray.io.readResp <> mainPipe.io.dataArray.fromIData
512cb6e5d3cSssszwic
513b92f8445Sssszwic  metaArray.io.fencei   := io.fencei
514b92f8445Sssszwic  metaArray.io.write    <> missUnit.io.meta_write
515b92f8445Sssszwic  metaArray.io.read     <> prefetcher.io.metaRead.toIMeta
516b92f8445Sssszwic  metaArray.io.readResp <> prefetcher.io.metaRead.fromIMeta
517cb6e5d3cSssszwic
518b92f8445Sssszwic  prefetcher.io.flush             := io.flush
519b92f8445Sssszwic  prefetcher.io.csr_pf_enable     := io.csr_pf_enable
520f80535c3Sxu_zh  prefetcher.io.csr_parity_enable := io.csr_parity_enable
521b92f8445Sssszwic  prefetcher.io.MSHRResp          := missUnit.io.fetch_resp
522*2c9f4a9fSxu_zh  prefetcher.io.flushFromBpu      := io.ftqPrefetch.flushFromBpu
523*2c9f4a9fSxu_zh  // cache softPrefetch
524*2c9f4a9fSxu_zh  private val softPrefetchValid = RegInit(false.B)
525*2c9f4a9fSxu_zh  private val softPrefetch = RegInit(0.U.asTypeOf(new IPrefetchReq))
526*2c9f4a9fSxu_zh  /* FIXME:
527*2c9f4a9fSxu_zh   * If there is already a pending softPrefetch request, it will be overwritten.
528*2c9f4a9fSxu_zh   * Also, if there are multiple softPrefetch requests in the same cycle, only the first one will be accepted.
529*2c9f4a9fSxu_zh   * We should implement a softPrefetchQueue (like ibuffer, multi-in, single-out) to solve this.
530*2c9f4a9fSxu_zh   * However, the impact on performance still needs to be assessed.
531*2c9f4a9fSxu_zh   * Considering that the frequency of prefetch.i may not be high, let's start with a temporary dummy solution.
532*2c9f4a9fSxu_zh   */
533*2c9f4a9fSxu_zh  when (io.softPrefetch.map(_.valid).reduce(_||_)) {
534*2c9f4a9fSxu_zh    softPrefetchValid := true.B
535*2c9f4a9fSxu_zh    softPrefetch.fromSoftPrefetch(MuxCase(
536*2c9f4a9fSxu_zh      0.U.asTypeOf(new SoftIfetchPrefetchBundle),
537*2c9f4a9fSxu_zh      io.softPrefetch.map(req => (req.valid -> req.bits))
538*2c9f4a9fSxu_zh    ))
539*2c9f4a9fSxu_zh  }.elsewhen (prefetcher.io.req.fire) {
540*2c9f4a9fSxu_zh    softPrefetchValid := false.B
541*2c9f4a9fSxu_zh  }
542*2c9f4a9fSxu_zh  // pass ftqPrefetch
543*2c9f4a9fSxu_zh  private val ftqPrefetch = WireInit(0.U.asTypeOf(new IPrefetchReq))
544*2c9f4a9fSxu_zh  ftqPrefetch.fromFtqICacheInfo(io.ftqPrefetch.req.bits)
545*2c9f4a9fSxu_zh  // software prefetch has higher priority
546*2c9f4a9fSxu_zh  prefetcher.io.req.valid := softPrefetchValid || io.ftqPrefetch.req.valid
547*2c9f4a9fSxu_zh  prefetcher.io.req.bits  := Mux(softPrefetchValid, softPrefetch, ftqPrefetch)
548*2c9f4a9fSxu_zh  io.ftqPrefetch.req.ready := prefetcher.io.req.ready && !softPrefetchValid
549fd16c454SJenius
550b92f8445Sssszwic  missUnit.io.hartId            := io.hartId
551b92f8445Sssszwic  missUnit.io.fencei            := io.fencei
552b92f8445Sssszwic  missUnit.io.flush             := io.flush
553b92f8445Sssszwic  missUnit.io.fetch_req         <> mainPipe.io.mshr.req
554b92f8445Sssszwic  missUnit.io.prefetch_req      <> prefetcher.io.MSHRReq
555b92f8445Sssszwic  missUnit.io.mem_grant.valid   := false.B
556b92f8445Sssszwic  missUnit.io.mem_grant.bits    := DontCare
557b92f8445Sssszwic  missUnit.io.mem_grant         <> bus.d
558b92f8445Sssszwic
559b92f8445Sssszwic  mainPipe.io.flush             := io.flush
560cb6e5d3cSssszwic  mainPipe.io.respStall         := io.stop
561ecccf78fSJay  mainPipe.io.csr_parity_enable := io.csr_parity_enable
562cb6e5d3cSssszwic  mainPipe.io.hartId            := io.hartId
563b92f8445Sssszwic  mainPipe.io.mshr.resp         := missUnit.io.fetch_resp
564b92f8445Sssszwic  mainPipe.io.fetch.req         <> io.fetch.req
565b92f8445Sssszwic  mainPipe.io.wayLookupRead     <> wayLookup.io.read
566b92f8445Sssszwic
567b92f8445Sssszwic  wayLookup.io.flush            := io.flush
568b92f8445Sssszwic  wayLookup.io.write            <> prefetcher.io.wayLookupWrite
569b92f8445Sssszwic  wayLookup.io.update           := missUnit.io.fetch_resp
570b92f8445Sssszwic
571b92f8445Sssszwic  replacer.io.touch   <> mainPipe.io.touch
572b92f8445Sssszwic  replacer.io.victim  <> missUnit.io.victim
5737052722fSJay
57461e1db30SJay  io.pmp(0) <> mainPipe.io.pmp(0)
57561e1db30SJay  io.pmp(1) <> mainPipe.io.pmp(1)
576b92f8445Sssszwic  io.pmp(2) <> prefetcher.io.pmp(0)
577b92f8445Sssszwic  io.pmp(3) <> prefetcher.io.pmp(1)
5787052722fSJay
579b92f8445Sssszwic  io.itlb(0) <> prefetcher.io.itlb(0)
580b92f8445Sssszwic  io.itlb(1) <> prefetcher.io.itlb(1)
5817052722fSJay
582cb6e5d3cSssszwic  //notify IFU that Icache pipeline is available
583cb6e5d3cSssszwic  io.toIFU := mainPipe.io.fetch.req.ready
584cb6e5d3cSssszwic  io.perfInfo := mainPipe.io.perfInfo
5851d8f4dcbSJay
586c5c5edaeSJenius  io.fetch.resp              <> mainPipe.io.fetch.resp
587d2b20d1aSTang Haojin  io.fetch.topdownIcacheMiss := mainPipe.io.fetch.topdownIcacheMiss
588d2b20d1aSTang Haojin  io.fetch.topdownItlbMiss   := mainPipe.io.fetch.topdownItlbMiss
589c5c5edaeSJenius
5901d8f4dcbSJay  bus.b.ready := false.B
5911d8f4dcbSJay  bus.c.valid := false.B
5921d8f4dcbSJay  bus.c.bits  := DontCare
5931d8f4dcbSJay  bus.e.valid := false.B
5941d8f4dcbSJay  bus.e.bits  := DontCare
5951d8f4dcbSJay
5961d8f4dcbSJay  bus.a <> missUnit.io.mem_acquire
5971d8f4dcbSJay
59858dbdfc2SJay  //Parity error port
5994da04e5bSguohongyu  val errors = mainPipe.io.errors
600b92f8445Sssszwic  val errors_valid = errors.map(e => e.valid).reduce(_ | _)
601b92f8445Sssszwic  io.error.bits <> RegEnable(Mux1H(errors.map(e => e.valid -> e.bits)), 0.U.asTypeOf(errors(0).bits), errors_valid)
602b92f8445Sssszwic  io.error.valid := RegNext(errors_valid, false.B)
6032a6078bfSguohongyu
604*2c9f4a9fSxu_zh  XSPerfAccumulate("softPrefetch_drop_not_ready", io.softPrefetch.map(_.valid).reduce(_||_) && softPrefetchValid && !prefetcher.io.req.fire)
605*2c9f4a9fSxu_zh  XSPerfAccumulate("softPrefetch_drop_multi_req", PopCount(io.softPrefetch.map(_.valid)) > 1.U)
606*2c9f4a9fSxu_zh  XSPerfAccumulate("softPrefetch_block_ftq", softPrefetchValid && io.ftqPrefetch.req.valid)
607*2c9f4a9fSxu_zh
6081d8f4dcbSJay  val perfEvents = Seq(
6091d8f4dcbSJay    ("icache_miss_cnt  ", false.B),
6109a128342SHaoyuan Feng    ("icache_miss_penalty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)),
6111d8f4dcbSJay  )
6121ca0e4f3SYinan Xu  generatePerfEvent()
613adc7b752SJenius}
614adc7b752SJenius
615adc7b752SJeniusclass ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
616adc7b752SJenius  extends ICacheBundle
617adc7b752SJenius{
618adc7b752SJenius  val req = Flipped(Vec(PortNumber, Decoupled(new Bundle{
619adc7b752SJenius    val ridx = UInt((log2Ceil(nSets) - 1).W)
620adc7b752SJenius  })))
621adc7b752SJenius  val resp = Output(new Bundle{
622adc7b752SJenius    val rdata  = Vec(PortNumber,Vec(pWay, gen))
623adc7b752SJenius  })
624adc7b752SJenius}
625adc7b752SJenius
626adc7b752SJeniusclass ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
627adc7b752SJenius  extends ICacheBundle
628adc7b752SJenius{
629adc7b752SJenius  val wdata = gen
630adc7b752SJenius  val widx = UInt((log2Ceil(nSets) - 1).W)
631adc7b752SJenius  val wbankidx = Bool()
632adc7b752SJenius  val wmask = Vec(pWay, Bool())
633adc7b752SJenius}
634adc7b752SJenius
635adc7b752SJeniusclass ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray
636adc7b752SJenius{
637adc7b752SJenius
638adc7b752SJenius  //including part way data
639adc7b752SJenius  val io = IO{new Bundle {
640adc7b752SJenius    val read      = new  ICachePartWayReadBundle(gen,pWay)
641adc7b752SJenius    val write     = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay)))
642adc7b752SJenius  }}
643adc7b752SJenius
64436638515SEaston Man  io.read.req.map(_.ready := !io.write.valid)
645adc7b752SJenius
646adc7b752SJenius  val srams = (0 until PortNumber) map { bank =>
647adc7b752SJenius    val sramBank = Module(new SRAMTemplate(
64836638515SEaston Man      gen,
649adc7b752SJenius      set=nSets/2,
650adc7b752SJenius      way=pWay,
651adc7b752SJenius      shouldReset = true,
652adc7b752SJenius      holdRead = true,
653adc7b752SJenius      singlePort = true
654adc7b752SJenius    ))
65536638515SEaston Man
656adc7b752SJenius    sramBank.io.r.req.valid := io.read.req(bank).valid
657adc7b752SJenius    sramBank.io.r.req.bits.apply(setIdx= io.read.req(bank).bits.ridx)
65836638515SEaston Man
65936638515SEaston Man    if(bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx
66036638515SEaston Man    else sramBank.io.w.req.valid := io.write.valid && io.write.bits.wbankidx
66136638515SEaston Man    sramBank.io.w.req.bits.apply(data=io.write.bits.wdata, setIdx=io.write.bits.widx, waymask=io.write.bits.wmask.asUInt)
66236638515SEaston Man
663adc7b752SJenius    sramBank
664adc7b752SJenius  }
665adc7b752SJenius
66636638515SEaston Man  io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_&&_))
667adc7b752SJenius
66836638515SEaston Man  io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay,gen))))
66936638515SEaston Man
6701d8f4dcbSJay}
671b92f8445Sssszwic
672b92f8445Sssszwic// Automatically partition the SRAM based on the width of the data and the desired width.
673b92f8445Sssszwic// final SRAM width = width * way
674b92f8445Sssszwicclass SRAMTemplateWithFixedWidth[T <: Data]
675b92f8445Sssszwic(
676b92f8445Sssszwic  gen: T, set: Int, width: Int, way: Int = 1,
677b92f8445Sssszwic  shouldReset: Boolean = false, holdRead: Boolean = false,
678b92f8445Sssszwic  singlePort: Boolean = false, bypassWrite: Boolean = false
679b92f8445Sssszwic) extends Module {
680b92f8445Sssszwic
681b92f8445Sssszwic  val dataBits  = gen.getWidth
682b92f8445Sssszwic  val bankNum = math.ceil(dataBits.toDouble / width.toDouble).toInt
683b92f8445Sssszwic  val totalBits = bankNum * width
684b92f8445Sssszwic
685b92f8445Sssszwic  val io = IO(new Bundle {
686b92f8445Sssszwic    val r = Flipped(new SRAMReadBus(gen, set, way))
687b92f8445Sssszwic    val w = Flipped(new SRAMWriteBus(gen, set, way))
688b92f8445Sssszwic  })
689b92f8445Sssszwic
690b92f8445Sssszwic  val wordType   = UInt(width.W)
691b92f8445Sssszwic  val writeDatas = (0 until bankNum).map(bank =>
692b92f8445Sssszwic    VecInit((0 until way).map(i =>
693b92f8445Sssszwic      io.w.req.bits.data(i).asTypeOf(UInt(totalBits.W)).asTypeOf(Vec(bankNum, wordType))(bank)
694b92f8445Sssszwic    ))
695b92f8445Sssszwic  )
696b92f8445Sssszwic
697b92f8445Sssszwic  val srams = (0 until bankNum) map { bank =>
698b92f8445Sssszwic    val sramBank = Module(new SRAMTemplate(
699b92f8445Sssszwic      wordType,
700b92f8445Sssszwic      set=set,
701b92f8445Sssszwic      way=way,
702b92f8445Sssszwic      shouldReset = shouldReset,
703b92f8445Sssszwic      holdRead = holdRead,
704b92f8445Sssszwic      singlePort = singlePort,
705b92f8445Sssszwic      bypassWrite = bypassWrite,
706b92f8445Sssszwic    ))
707b92f8445Sssszwic    // read req
708b92f8445Sssszwic    sramBank.io.r.req.valid       := io.r.req.valid
709b92f8445Sssszwic    sramBank.io.r.req.bits.setIdx := io.r.req.bits.setIdx
710b92f8445Sssszwic
711b92f8445Sssszwic    // write req
712b92f8445Sssszwic    sramBank.io.w.req.valid       := io.w.req.valid
713b92f8445Sssszwic    sramBank.io.w.req.bits.setIdx := io.w.req.bits.setIdx
714b92f8445Sssszwic    sramBank.io.w.req.bits.data   := writeDatas(bank)
715b92f8445Sssszwic    sramBank.io.w.req.bits.waymask.map(_ := io.w.req.bits.waymask.get)
716b92f8445Sssszwic
717b92f8445Sssszwic    sramBank
718b92f8445Sssszwic  }
719b92f8445Sssszwic
720b92f8445Sssszwic  io.r.req.ready := !io.w.req.valid
721b92f8445Sssszwic  (0 until way).foreach{i =>
722b92f8445Sssszwic    io.r.resp.data(i) := VecInit((0 until bankNum).map(bank =>
723b92f8445Sssszwic                           srams(bank).io.r.resp.data(i)
724b92f8445Sssszwic                         )).asTypeOf(UInt(totalBits.W))(dataBits-1, 0).asTypeOf(gen.cloneType)
725b92f8445Sssszwic  }
726b92f8445Sssszwic
727b92f8445Sssszwic  io.r.req.ready := srams.head.io.r.req.ready
728b92f8445Sssszwic  io.w.req.ready := srams.head.io.w.req.ready
729b92f8445Sssszwic}