11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters 201d8f4dcbSJayimport chisel3._ 21adc7b752SJeniusimport chisel3.util.{DecoupledIO, _} 221d8f4dcbSJayimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 231d8f4dcbSJayimport freechips.rocketchip.tilelink._ 241d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase 257052722fSJayimport huancun.{AliasField, DirtyField, PreferCacheField, PrefetchField} 261d8f4dcbSJayimport xiangshan._ 271d8f4dcbSJayimport xiangshan.frontend._ 281d8f4dcbSJayimport xiangshan.cache._ 293c02ee8fSwakafaimport utils._ 303c02ee8fSwakafaimport utility._ 317052722fSJayimport xiangshan.backend.fu.PMPReqBundle 32f1fe8698SLemoverimport xiangshan.cache.mmu.{TlbRequestIO, TlbReq} 3334f9624dSguohongyuimport difftest._ 341d8f4dcbSJay 351d8f4dcbSJaycase class ICacheParameters( 361d8f4dcbSJay nSets: Int = 256, 371d8f4dcbSJay nWays: Int = 8, 381d8f4dcbSJay rowBits: Int = 64, 391d8f4dcbSJay nTLBEntries: Int = 32, 401d8f4dcbSJay tagECC: Option[String] = None, 411d8f4dcbSJay dataECC: Option[String] = None, 421d8f4dcbSJay replacer: Option[String] = Some("random"), 431d8f4dcbSJay nMissEntries: Int = 2, 4400240ba6SJay nReleaseEntries: Int = 1, 451d8f4dcbSJay nProbeEntries: Int = 2, 46cb93f2f2Sguohongyu nPrefetchEntries: Int = 12, 47cb93f2f2Sguohongyu nPrefBufferEntries: Int = 64, 480c26d810Sguohongyu prefetchPipeNum: Int = 2, 49cb93f2f2Sguohongyu hasPrefetch: Boolean = true, 501d8f4dcbSJay nMMIOs: Int = 1, 511d8f4dcbSJay blockBytes: Int = 64 521d8f4dcbSJay)extends L1CacheParameters { 531d8f4dcbSJay 541d8f4dcbSJay val setBytes = nSets * blockBytes 55cb93f2f2Sguohongyu val aliasBitsOpt = DCacheParameters().aliasBitsOpt //if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 561d8f4dcbSJay val reqFields: Seq[BundleFieldBase] = Seq( 571d8f4dcbSJay PrefetchField(), 581d8f4dcbSJay PreferCacheField() 591d8f4dcbSJay ) ++ aliasBitsOpt.map(AliasField) 601d8f4dcbSJay val echoFields: Seq[BundleFieldBase] = Seq(DirtyField()) 611d8f4dcbSJay def tagCode: Code = Code.fromString(tagECC) 621d8f4dcbSJay def dataCode: Code = Code.fromString(dataECC) 631d8f4dcbSJay def replacement = ReplacementPolicy.fromString(replacer,nWays,nSets) 641d8f4dcbSJay} 651d8f4dcbSJay 661d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst{ 671d8f4dcbSJay val cacheParams = icacheParameters 6842cfa32cSJinYue val dataCodeUnit = 16 69b37bce8eSJinYue val dataCodeUnitNum = blockBits/dataCodeUnit 701d8f4dcbSJay 711d8f4dcbSJay def highestIdxBit = log2Ceil(nSets) - 1 72b37bce8eSJinYue def encDataUnitBits = cacheParams.dataCode.width(dataCodeUnit) 73b37bce8eSJinYue def dataCodeBits = encDataUnitBits - dataCodeUnit 74b37bce8eSJinYue def dataCodeEntryBits = dataCodeBits * dataCodeUnitNum 751d8f4dcbSJay 761d8f4dcbSJay val ICacheSets = cacheParams.nSets 771d8f4dcbSJay val ICacheWays = cacheParams.nWays 781d8f4dcbSJay 791d8f4dcbSJay val ICacheSameVPAddrLength = 12 802a25dbb4SJay val ReplaceIdWid = 5 811d8f4dcbSJay 821d8f4dcbSJay val ICacheWordOffset = 0 831d8f4dcbSJay val ICacheSetOffset = ICacheWordOffset + log2Up(blockBytes) 841d8f4dcbSJay val ICacheAboveIndexOffset = ICacheSetOffset + log2Up(ICacheSets) 851d8f4dcbSJay val ICacheTagOffset = ICacheAboveIndexOffset min ICacheSameVPAddrLength 861d8f4dcbSJay 871d8f4dcbSJay def PortNumber = 2 881d8f4dcbSJay 89adc7b752SJenius def partWayNum = 4 90adc7b752SJenius def pWay = nWays/partWayNum 91adc7b752SJenius 927052722fSJay def nPrefetchEntries = cacheParams.nPrefetchEntries 93974a902cSguohongyu def totalMSHRNum = PortNumber + nPrefetchEntries 94b1ded4e8Sguohongyu def nIPFBufferSize = cacheParams.nPrefBufferEntries 95b1ded4e8Sguohongyu def maxIPFMoveConf = 1 // temporary use small value to cause more "move" operation 960c26d810Sguohongyu def prefetchPipeNum = ICacheParameters().prefetchPipeNum 971d8f4dcbSJay 98adc7b752SJenius def getBits(num: Int) = log2Ceil(num).W 99adc7b752SJenius 100adc7b752SJenius 1012a25dbb4SJay def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = { 1022a25dbb4SJay val valid = RegInit(false.B) 1032a25dbb4SJay when(thisFlush) {valid := false.B} 1042a25dbb4SJay .elsewhen(lastFire && !lastFlush) {valid := true.B} 1052a25dbb4SJay .elsewhen(thisFire) {valid := false.B} 1062a25dbb4SJay valid 1072a25dbb4SJay } 1082a25dbb4SJay 1092a25dbb4SJay def ResultHoldBypass[T<:Data](data: T, valid: Bool): T = { 1102a25dbb4SJay Mux(valid, data, RegEnable(data, valid)) 1112a25dbb4SJay } 1122a25dbb4SJay 113b1ded4e8Sguohongyu def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool ={ 114b1ded4e8Sguohongyu val bit = RegInit(false.B) 115b1ded4e8Sguohongyu when(flush) { bit := false.B } 116b1ded4e8Sguohongyu .elsewhen(valid && !release) { bit := true.B } 117b1ded4e8Sguohongyu .elsewhen(release) { bit := false.B } 118b1ded4e8Sguohongyu bit || valid 119b1ded4e8Sguohongyu } 120b1ded4e8Sguohongyu 1215470b21eSguohongyu def blockCounter(block: Bool, flush: Bool, threshold: Int): Bool = { 1225470b21eSguohongyu val counter = RegInit(0.U(log2Up(threshold + 1).W)) 1235470b21eSguohongyu when (block) { counter := counter + 1.U } 1245470b21eSguohongyu when (flush) { counter := 0.U} 1255470b21eSguohongyu counter > threshold.U 1265470b21eSguohongyu } 1275470b21eSguohongyu 1281d8f4dcbSJay require(isPow2(nSets), s"nSets($nSets) must be pow2") 1291d8f4dcbSJay require(isPow2(nWays), s"nWays($nWays) must be pow2") 1301d8f4dcbSJay} 1311d8f4dcbSJay 1321d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle 1331d8f4dcbSJay with HasICacheParameters 1341d8f4dcbSJay 1351d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule 1361d8f4dcbSJay with HasICacheParameters 1371d8f4dcbSJay 1381d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule 1391d8f4dcbSJay with HasICacheParameters 1401d8f4dcbSJay 1411d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle { 1421d8f4dcbSJay val tag = UInt(tagBits.W) 1431d8f4dcbSJay} 1441d8f4dcbSJay 1451d8f4dcbSJayobject ICacheMetadata { 1464da04e5bSguohongyu def apply(tag: Bits)(implicit p: Parameters) = { 1479442775eSguohongyu val meta = Wire(new ICacheMetadata) 1481d8f4dcbSJay meta.tag := tag 1491d8f4dcbSJay meta 1501d8f4dcbSJay } 1511d8f4dcbSJay} 1521d8f4dcbSJay 1531d8f4dcbSJay 1541d8f4dcbSJayclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray 1551d8f4dcbSJay{ 1564da04e5bSguohongyu def onReset = ICacheMetadata(0.U) 1571d8f4dcbSJay val metaBits = onReset.getWidth 1581d8f4dcbSJay val metaEntryBits = cacheParams.tagCode.width(metaBits) 1591d8f4dcbSJay 1601d8f4dcbSJay val io=IO{new Bundle{ 1611d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheMetaWriteBundle)) 162afed18b5SJenius val read = Flipped(DecoupledIO(new ICacheReadBundle)) 1631d8f4dcbSJay val readResp = Output(new ICacheMetaRespBundle) 164026615fcSWilliam Wang val cacheOp = Flipped(new L1CacheInnerOpIO) // customized cache op port 165*2a6078bfSguohongyu val fencei = Input(Bool()) 1661d8f4dcbSJay }} 1671d8f4dcbSJay 168afed18b5SJenius io.read.ready := !io.write.valid 169afed18b5SJenius 170afed18b5SJenius val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0) 171afed18b5SJenius val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0) 172afed18b5SJenius val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 173afed18b5SJenius val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 174afed18b5SJenius 175afed18b5SJenius val port_0_read_0_reg = RegEnable(next = port_0_read_0, enable = io.read.fire()) 176afed18b5SJenius val port_0_read_1_reg = RegEnable(next = port_0_read_1, enable = io.read.fire()) 177afed18b5SJenius val port_1_read_1_reg = RegEnable(next = port_1_read_1, enable = io.read.fire()) 178afed18b5SJenius val port_1_read_0_reg = RegEnable(next = port_1_read_0, enable = io.read.fire()) 179afed18b5SJenius 180afed18b5SJenius val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 181afed18b5SJenius val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 182afed18b5SJenius val bank_idx = Seq(bank_0_idx, bank_1_idx) 183afed18b5SJenius 184afed18b5SJenius val write_bank_0 = io.write.valid && !io.write.bits.bankIdx 185afed18b5SJenius val write_bank_1 = io.write.valid && io.write.bits.bankIdx 1861d8f4dcbSJay 1871d8f4dcbSJay val write_meta_bits = Wire(UInt(metaEntryBits.W)) 1881d8f4dcbSJay 189afed18b5SJenius val tagArrays = (0 until 2) map { bank => 190afed18b5SJenius val tagArray = Module(new SRAMTemplate( 1911d8f4dcbSJay UInt(metaEntryBits.W), 192afed18b5SJenius set=nSets/2, 193afed18b5SJenius way=nWays, 194afed18b5SJenius shouldReset = true, 195afed18b5SJenius holdRead = true, 196afed18b5SJenius singlePort = true 1971d8f4dcbSJay )) 1981d8f4dcbSJay 199afed18b5SJenius //meta connection 200afed18b5SJenius if(bank == 0) { 201afed18b5SJenius tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0 202afed18b5SJenius tagArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1)) 203afed18b5SJenius tagArray.io.w.req.valid := write_bank_0 204afed18b5SJenius tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 205afed18b5SJenius } 206afed18b5SJenius else { 207afed18b5SJenius tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1 208afed18b5SJenius tagArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1)) 209afed18b5SJenius tagArray.io.w.req.valid := write_bank_1 210afed18b5SJenius tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 211afed18b5SJenius } 2121d8f4dcbSJay 2131d8f4dcbSJay tagArray 2141d8f4dcbSJay } 215b37bce8eSJinYue 21660672d5eSguohongyu val read_set_idx_next = RegEnable(next = io.read.bits.vSetIdx, enable = io.read.fire) 2179442775eSguohongyu val valid_array = RegInit(VecInit(Seq.fill(nWays)(0.U(nSets.W)))) 21860672d5eSguohongyu val valid_metas = Wire(Vec(PortNumber, Vec(nWays, Bool()))) 21960672d5eSguohongyu // valid read 22060672d5eSguohongyu (0 until PortNumber).foreach( i => 22160672d5eSguohongyu (0 until nWays).foreach( way => 22260672d5eSguohongyu valid_metas(i)(way) := valid_array(way)(read_set_idx_next(i)) 22360672d5eSguohongyu )) 22460672d5eSguohongyu io.readResp.entryValid := valid_metas 2259442775eSguohongyu// val readIdxNext = RegEnable(next = io.read.bits.vSetIdx, enable = io.read.fire) 2269442775eSguohongyu// val validArray = RegInit(0.U((nSets * nWays).W)) 2279442775eSguohongyu// val validMetas = VecInit((0 until 2).map{ bank => 2289442775eSguohongyu// val validMeta = Cat((0 until nWays).map{w => validArray( Cat(readIdxNext(bank), w.U(log2Ceil(nWays).W)) )}.reverse).asUInt 2299442775eSguohongyu// validMeta 2309442775eSguohongyu// }) 2319442775eSguohongyu// io.readResp.entryValid := validMetas.asTypeOf(Vec(2, Vec(nWays, Bool()))) 23260672d5eSguohongyu 233*2a6078bfSguohongyu io.read.ready := !io.write.valid && !io.fencei && tagArrays.map(_.io.r.req.ready).reduce(_&&_) 234afed18b5SJenius 235afed18b5SJenius //Parity Decode 2361d8f4dcbSJay val read_metas = Wire(Vec(2,Vec(nWays,new ICacheMetadata()))) 237afed18b5SJenius for((tagArray,i) <- tagArrays.zipWithIndex){ 238afed18b5SJenius val read_meta_bits = tagArray.io.r.resp.asTypeOf(Vec(nWays,UInt(metaEntryBits.W))) 2391d8f4dcbSJay val read_meta_decoded = read_meta_bits.map{ way_bits => cacheParams.tagCode.decode(way_bits)} 2401d8f4dcbSJay val read_meta_wrong = read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.error} 2411d8f4dcbSJay val read_meta_corrected = VecInit(read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.corrected}) 242afed18b5SJenius read_metas(i) := read_meta_corrected.asTypeOf(Vec(nWays,new ICacheMetadata())) 243afed18b5SJenius (0 until nWays).map{ w => io.readResp.errors(i)(w) := RegNext(read_meta_wrong(w)) && RegNext(RegNext(io.read.fire))} 2441d8f4dcbSJay } 245afed18b5SJenius 246afed18b5SJenius //Parity Encode 247afed18b5SJenius val write = io.write.bits 2484da04e5bSguohongyu write_meta_bits := cacheParams.tagCode.encode(ICacheMetadata(tag = write.phyTag).asUInt) 249afed18b5SJenius 25060672d5eSguohongyu// val wayNum = OHToUInt(io.write.bits.waymask) 25160672d5eSguohongyu// val validPtr = Cat(io.write.bits.virIdx, wayNum) 2529442775eSguohongyu// when (io.write.valid) { 2539442775eSguohongyu// validArray := validArray.bitSet(validPtr, true.B) 2549442775eSguohongyu// } 25560672d5eSguohongyu // valid write 25660672d5eSguohongyu val way_num = OHToUInt(io.write.bits.waymask) 25760672d5eSguohongyu when (io.write.valid) { 2589442775eSguohongyu valid_array(way_num) := valid_array(way_num).bitSet(io.write.bits.virIdx, true.B) 25960672d5eSguohongyu } 2601d8f4dcbSJay 2619442775eSguohongyu XSPerfAccumulate("meta_refill_num", io.write.valid) 2629442775eSguohongyu 2631d8f4dcbSJay io.readResp.metaData <> DontCare 2641d8f4dcbSJay when(port_0_read_0_reg){ 2651d8f4dcbSJay io.readResp.metaData(0) := read_metas(0) 2661d8f4dcbSJay }.elsewhen(port_0_read_1_reg){ 2671d8f4dcbSJay io.readResp.metaData(0) := read_metas(1) 2681d8f4dcbSJay } 2691d8f4dcbSJay 2701d8f4dcbSJay when(port_1_read_0_reg){ 2711d8f4dcbSJay io.readResp.metaData(1) := read_metas(0) 2721d8f4dcbSJay }.elsewhen(port_1_read_1_reg){ 2731d8f4dcbSJay io.readResp.metaData(1) := read_metas(1) 2741d8f4dcbSJay } 2751d8f4dcbSJay 276afed18b5SJenius 2770c26d810Sguohongyu io.write.ready := true.B // TODO : has bug ? should be !io.cacheOp.req.valid 2781d8f4dcbSJay // deal with customized cache op 2791d8f4dcbSJay require(nWays <= 32) 2801d8f4dcbSJay io.cacheOp.resp.bits := DontCare 2811d8f4dcbSJay val cacheOpShouldResp = WireInit(false.B) 2821d8f4dcbSJay when(io.cacheOp.req.valid){ 2831d8f4dcbSJay when( 2841d8f4dcbSJay CacheInstrucion.isReadTag(io.cacheOp.req.bits.opCode) || 2851d8f4dcbSJay CacheInstrucion.isReadTagECC(io.cacheOp.req.bits.opCode) 2861d8f4dcbSJay ){ 2871d8f4dcbSJay for (i <- 0 until 2) { 288afed18b5SJenius tagArrays(i).io.r.req.valid := true.B 289afed18b5SJenius tagArrays(i).io.r.req.bits.apply(setIdx = io.cacheOp.req.bits.index) 2901d8f4dcbSJay } 2911d8f4dcbSJay cacheOpShouldResp := true.B 2921d8f4dcbSJay } 293afed18b5SJenius when(CacheInstrucion.isWriteTag(io.cacheOp.req.bits.opCode)){ 2941d8f4dcbSJay for (i <- 0 until 2) { 295afed18b5SJenius tagArrays(i).io.w.req.valid := true.B 296afed18b5SJenius tagArrays(i).io.w.req.bits.apply( 297afed18b5SJenius data = io.cacheOp.req.bits.write_tag_low, 298afed18b5SJenius setIdx = io.cacheOp.req.bits.index, 299afed18b5SJenius waymask = UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 300afed18b5SJenius ) 3011d8f4dcbSJay } 3021d8f4dcbSJay cacheOpShouldResp := true.B 3031d8f4dcbSJay } 304afed18b5SJenius // TODO 305afed18b5SJenius // when(CacheInstrucion.isWriteTagECC(io.cacheOp.req.bits.opCode)){ 306afed18b5SJenius // for (i <- 0 until readPorts) { 307afed18b5SJenius // array(i).io.ecc_write.valid := true.B 308afed18b5SJenius // array(i).io.ecc_write.bits.idx := io.cacheOp.req.bits.index 309afed18b5SJenius // array(i).io.ecc_write.bits.way_en := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 310afed18b5SJenius // array(i).io.ecc_write.bits.ecc := io.cacheOp.req.bits.write_tag_ecc 311afed18b5SJenius // } 312afed18b5SJenius // cacheOpShouldResp := true.B 313afed18b5SJenius // } 3141d8f4dcbSJay } 315afed18b5SJenius io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp) 316afed18b5SJenius io.cacheOp.resp.bits.read_tag_low := Mux(io.cacheOp.resp.valid, 317afed18b5SJenius tagArrays(0).io.r.resp.asTypeOf(Vec(nWays, UInt(tagBits.W)))(io.cacheOp.req.bits.wayNum), 318afed18b5SJenius 0.U 3191d8f4dcbSJay ) 320afed18b5SJenius io.cacheOp.resp.bits.read_tag_ecc := DontCare // TODO 321afed18b5SJenius // TODO: deal with duplicated array 322*2a6078bfSguohongyu 323*2a6078bfSguohongyu // fencei logic : reset valid_array 324*2a6078bfSguohongyu when (io.fencei) { 325*2a6078bfSguohongyu (0 until nWays).foreach( way => 326*2a6078bfSguohongyu valid_array(way) := 0.U 327*2a6078bfSguohongyu ) 328*2a6078bfSguohongyu } 3291d8f4dcbSJay} 3301d8f4dcbSJay 3311d8f4dcbSJay 332afed18b5SJenius 3331d8f4dcbSJayclass ICacheDataArray(implicit p: Parameters) extends ICacheArray 3341d8f4dcbSJay{ 335b37bce8eSJinYue 336b37bce8eSJinYue def getECCFromEncUnit(encUnit: UInt) = { 337b37bce8eSJinYue require(encUnit.getWidth == encDataUnitBits) 338e5f1252bSGuokai Chen if (encDataUnitBits == dataCodeUnit) { 339e5f1252bSGuokai Chen 0.U.asTypeOf(UInt(1.W)) 340e5f1252bSGuokai Chen } else { 341b37bce8eSJinYue encUnit(encDataUnitBits - 1, dataCodeUnit) 342b37bce8eSJinYue } 343e5f1252bSGuokai Chen } 344b37bce8eSJinYue 345b37bce8eSJinYue def getECCFromBlock(cacheblock: UInt) = { 346b37bce8eSJinYue // require(cacheblock.getWidth == blockBits) 347b37bce8eSJinYue VecInit((0 until dataCodeUnitNum).map { w => 348b37bce8eSJinYue val unit = cacheblock(dataCodeUnit * (w + 1) - 1, dataCodeUnit * w) 349b37bce8eSJinYue getECCFromEncUnit(cacheParams.dataCode.encode(unit)) 350b37bce8eSJinYue }) 351b37bce8eSJinYue } 352b37bce8eSJinYue 3531d8f4dcbSJay val io=IO{new Bundle{ 3541d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheDataWriteBundle)) 355adc7b752SJenius val read = Flipped(DecoupledIO(Vec(partWayNum, new ICacheReadBundle))) 3561d8f4dcbSJay val readResp = Output(new ICacheDataRespBundle) 357026615fcSWilliam Wang val cacheOp = Flipped(new L1CacheInnerOpIO) // customized cache op port 3581d8f4dcbSJay }} 3591d8f4dcbSJay 360b37bce8eSJinYue val write_data_bits = Wire(UInt(blockBits.W)) 3611d8f4dcbSJay 362adc7b752SJenius val port_0_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_0, enable = io.read.fire()) 363adc7b752SJenius val port_0_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_0_read_1, enable = io.read.fire()) 364adc7b752SJenius val port_1_read_1_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_1, enable = io.read.fire()) 365adc7b752SJenius val port_1_read_0_reg = RegEnable(next = io.read.valid && io.read.bits.head.port_1_read_0, enable = io.read.fire()) 366adc7b752SJenius 367adc7b752SJenius val bank_0_idx_vec = io.read.bits.map(copy => Mux(io.read.valid && copy.port_0_read_0, copy.vSetIdx(0), copy.vSetIdx(1))) 368adc7b752SJenius val bank_1_idx_vec = io.read.bits.map(copy => Mux(io.read.valid && copy.port_0_read_1, copy.vSetIdx(0), copy.vSetIdx(1))) 369adc7b752SJenius 370adc7b752SJenius val dataArrays = (0 until partWayNum).map{ i => 371adc7b752SJenius val dataArray = Module(new ICachePartWayArray( 372b37bce8eSJinYue UInt(blockBits.W), 373adc7b752SJenius pWay, 3741d8f4dcbSJay )) 3751d8f4dcbSJay 376adc7b752SJenius dataArray.io.read.req(0).valid := io.read.bits(i).read_bank_0 && io.read.valid 377adc7b752SJenius dataArray.io.read.req(0).bits.ridx := bank_0_idx_vec(i)(highestIdxBit,1) 378adc7b752SJenius dataArray.io.read.req(1).valid := io.read.bits(i).read_bank_1 && io.read.valid 379adc7b752SJenius dataArray.io.read.req(1).bits.ridx := bank_1_idx_vec(i)(highestIdxBit,1) 380adc7b752SJenius 381adc7b752SJenius 382adc7b752SJenius dataArray.io.write.valid := io.write.valid 383adc7b752SJenius dataArray.io.write.bits.wdata := write_data_bits 384adc7b752SJenius dataArray.io.write.bits.widx := io.write.bits.virIdx(highestIdxBit,1) 385adc7b752SJenius dataArray.io.write.bits.wbankidx := io.write.bits.bankIdx 386adc7b752SJenius dataArray.io.write.bits.wmask := io.write.bits.waymask.asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i) 3871d8f4dcbSJay 3881d8f4dcbSJay dataArray 3891d8f4dcbSJay } 3901d8f4dcbSJay 391adc7b752SJenius val read_datas = Wire(Vec(2,Vec(nWays,UInt(blockBits.W) ))) 392adc7b752SJenius 393adc7b752SJenius (0 until PortNumber).map { port => 394adc7b752SJenius (0 until nWays).map { w => 395adc7b752SJenius read_datas(port)(w) := dataArrays(w / pWay).io.read.resp.rdata(port).asTypeOf(Vec(pWay, UInt(blockBits.W)))(w % pWay) 396adc7b752SJenius } 397adc7b752SJenius } 398adc7b752SJenius 399adc7b752SJenius io.readResp.datas(0) := Mux( port_0_read_1_reg, read_datas(1) , read_datas(0)) 400adc7b752SJenius io.readResp.datas(1) := Mux( port_1_read_0_reg, read_datas(0) , read_datas(1)) 401adc7b752SJenius 402adc7b752SJenius 403adc7b752SJenius val write_data_code = Wire(UInt(dataCodeEntryBits.W)) 404afed18b5SJenius val write_bank_0 = WireInit(io.write.valid && !io.write.bits.bankIdx) 405afed18b5SJenius val write_bank_1 = WireInit(io.write.valid && io.write.bits.bankIdx) 406adc7b752SJenius 407afed18b5SJenius val bank_0_idx = bank_0_idx_vec.last 408afed18b5SJenius val bank_1_idx = bank_1_idx_vec.last 409afed18b5SJenius 410afed18b5SJenius val codeArrays = (0 until 2) map { i => 411afed18b5SJenius val codeArray = Module(new SRAMTemplate( 412b37bce8eSJinYue UInt(dataCodeEntryBits.W), 413afed18b5SJenius set=nSets/2, 414afed18b5SJenius way=nWays, 415afed18b5SJenius shouldReset = true, 416afed18b5SJenius holdRead = true, 417afed18b5SJenius singlePort = true 418b37bce8eSJinYue )) 419b37bce8eSJinYue 420afed18b5SJenius if(i == 0) { 421afed18b5SJenius codeArray.io.r.req.valid := io.read.valid && io.read.bits.last.read_bank_0 422afed18b5SJenius codeArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1)) 423afed18b5SJenius codeArray.io.w.req.valid := write_bank_0 424afed18b5SJenius codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 425afed18b5SJenius } 426afed18b5SJenius else { 427afed18b5SJenius codeArray.io.r.req.valid := io.read.valid && io.read.bits.last.read_bank_1 428afed18b5SJenius codeArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1)) 429afed18b5SJenius codeArray.io.w.req.valid := write_bank_1 430afed18b5SJenius codeArray.io.w.req.bits.apply(data=write_data_code, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 431afed18b5SJenius } 432b37bce8eSJinYue 433b37bce8eSJinYue codeArray 434b37bce8eSJinYue } 435afed18b5SJenius 436adc7b752SJenius io.read.ready := !io.write.valid && 437adc7b752SJenius dataArrays.map(_.io.read.req.map(_.ready).reduce(_&&_)).reduce(_&&_) && 438afed18b5SJenius codeArrays.map(_.io.r.req.ready).reduce(_ && _) 43919d62fa1SJenius 4401d8f4dcbSJay //Parity Decode 441b37bce8eSJinYue val read_codes = Wire(Vec(2,Vec(nWays,UInt(dataCodeEntryBits.W) ))) 442afed18b5SJenius for(((dataArray,codeArray),i) <- dataArrays.zip(codeArrays).zipWithIndex){ 443afed18b5SJenius read_codes(i) := codeArray.io.r.resp.asTypeOf(Vec(nWays,UInt(dataCodeEntryBits.W))) 444adc7b752SJenius } 44579b191f7SJay 4461d8f4dcbSJay //Parity Encode 4471d8f4dcbSJay val write = io.write.bits 448b37bce8eSJinYue val write_data = WireInit(write.data) 449b37bce8eSJinYue write_data_code := getECCFromBlock(write_data).asUInt 450b37bce8eSJinYue write_data_bits := write_data 4511d8f4dcbSJay 45279b191f7SJay io.readResp.codes(0) := Mux( port_0_read_1_reg, read_codes(1) , read_codes(0)) 45379b191f7SJay io.readResp.codes(1) := Mux( port_1_read_0_reg, read_codes(0) , read_codes(1)) 4541d8f4dcbSJay 4551d8f4dcbSJay io.write.ready := true.B 4561d8f4dcbSJay 4571d8f4dcbSJay // deal with customized cache op 4581d8f4dcbSJay require(nWays <= 32) 4591d8f4dcbSJay io.cacheOp.resp.bits := DontCare 460adc7b752SJenius io.cacheOp.resp.valid := false.B 4611d8f4dcbSJay val cacheOpShouldResp = WireInit(false.B) 4621e0378c2SJenius val dataresp = Wire(Vec(nWays,UInt(blockBits.W) )) 4631e0378c2SJenius dataresp := DontCare 4641d8f4dcbSJay when(io.cacheOp.req.valid){ 4651d8f4dcbSJay when( 466adc7b752SJenius CacheInstrucion.isReadData(io.cacheOp.req.bits.opCode) 4671d8f4dcbSJay ){ 4681e0378c2SJenius for (i <- 0 until partWayNum) { 4691e0378c2SJenius dataArrays(i).io.read.req.zipWithIndex.map{ case(port,i) => 4701e0378c2SJenius if(i ==0) port.valid := !io.cacheOp.req.bits.bank_num(0) 4711e0378c2SJenius else port.valid := io.cacheOp.req.bits.bank_num(0) 472adc7b752SJenius port.bits.ridx := io.cacheOp.req.bits.index(highestIdxBit,1) 473adc7b752SJenius } 474adc7b752SJenius } 4751e0378c2SJenius cacheOpShouldResp := dataArrays.head.io.read.req.map(_.fire()).reduce(_||_) 4761e0378c2SJenius dataresp :=Mux(io.cacheOp.req.bits.bank_num(0).asBool, read_datas(1), read_datas(0)) 477adc7b752SJenius } 478adc7b752SJenius when(CacheInstrucion.isWriteData(io.cacheOp.req.bits.opCode)){ 4791e0378c2SJenius for (i <- 0 until partWayNum) { 480adc7b752SJenius dataArrays(i).io.write.valid := true.B 481adc7b752SJenius dataArrays(i).io.write.bits.wdata := io.cacheOp.req.bits.write_data_vec.asTypeOf(write_data.cloneType) 4821e0378c2SJenius dataArrays(i).io.write.bits.wbankidx := io.cacheOp.req.bits.bank_num(0) 483adc7b752SJenius dataArrays(i).io.write.bits.widx := io.cacheOp.req.bits.index(highestIdxBit,1) 484adc7b752SJenius dataArrays(i).io.write.bits.wmask := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)).asTypeOf(Vec(partWayNum, Vec(pWay, Bool())))(i) 485adc7b752SJenius } 486adc7b752SJenius cacheOpShouldResp := true.B 487adc7b752SJenius } 488adc7b752SJenius } 4891e0378c2SJenius 4901e0378c2SJenius io.cacheOp.resp.valid := RegNext(cacheOpShouldResp) 4911e0378c2SJenius val numICacheLineWords = blockBits / 64 4921e0378c2SJenius require(blockBits >= 64 && isPow2(blockBits)) 4931e0378c2SJenius for (wordIndex <- 0 until numICacheLineWords) { 4941e0378c2SJenius io.cacheOp.resp.bits.read_data_vec(wordIndex) := dataresp(io.cacheOp.req.bits.wayNum(4, 0))(64*(wordIndex+1)-1, 64*wordIndex) 4951e0378c2SJenius } 4961e0378c2SJenius 4971d8f4dcbSJay} 4981d8f4dcbSJay 4991d8f4dcbSJay 5001d8f4dcbSJayclass ICacheIO(implicit p: Parameters) extends ICacheBundle 5011d8f4dcbSJay{ 50241cb8b61SJenius val hartId = Input(UInt(8.W)) 5037052722fSJay val prefetch = Flipped(new FtqPrefechBundle) 5041d8f4dcbSJay val stop = Input(Bool()) 505c5c5edaeSJenius val fetch = new ICacheMainPipeBundle 50650780602SJenius val toIFU = Output(Bool()) 5070c26d810Sguohongyu val pmp = Vec(PortNumber + prefetchPipeNum, new ICachePMPBundle) 5080c26d810Sguohongyu val itlb = Vec(PortNumber + prefetchPipeNum, new TlbRequestIO) 5091d8f4dcbSJay val perfInfo = Output(new ICachePerfInfo) 51058dbdfc2SJay val error = new L1CacheErrorInfo 511ecccf78fSJay /* Cache Instruction */ 512ecccf78fSJay val csr = new L1CacheToCsrIO 513ecccf78fSJay /* CSR control signal */ 514ecccf78fSJay val csr_pf_enable = Input(Bool()) 515ecccf78fSJay val csr_parity_enable = Input(Bool()) 516*2a6078bfSguohongyu val fencei = Input(Bool()) 5171d8f4dcbSJay} 5181d8f4dcbSJay 5191d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters { 5201d8f4dcbSJay 5211d8f4dcbSJay val clientParameters = TLMasterPortParameters.v1( 5221d8f4dcbSJay Seq(TLMasterParameters.v1( 5231d8f4dcbSJay name = "icache", 52414fbcd5eSguohongyu sourceId = IdRange(0, cacheParams.nMissEntries + cacheParams.nPrefetchEntries), 5257052722fSJay supportsProbe = TransferSizes(blockBytes), 5267052722fSJay supportsHint = TransferSizes(blockBytes) 5271d8f4dcbSJay )), 5281d8f4dcbSJay requestFields = cacheParams.reqFields, 5291d8f4dcbSJay echoFields = cacheParams.echoFields 5301d8f4dcbSJay ) 5311d8f4dcbSJay 5321d8f4dcbSJay val clientNode = TLClientNode(Seq(clientParameters)) 5331d8f4dcbSJay 5341d8f4dcbSJay lazy val module = new ICacheImp(this) 5351d8f4dcbSJay} 5361d8f4dcbSJay 5371ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents { 5381d8f4dcbSJay val io = IO(new ICacheIO) 5391d8f4dcbSJay 5407052722fSJay println("ICache:") 5417052722fSJay println(" ICacheSets: " + cacheParams.nSets) 5427052722fSJay println(" ICacheWays: " + cacheParams.nWays) 5437052722fSJay println(" ICacheBanks: " + PortNumber) 5447052722fSJay println(" hasPrefetch: " + cacheParams.hasPrefetch) 5457052722fSJay if(cacheParams.hasPrefetch){ 5467052722fSJay println(" nPrefetchEntries: " + cacheParams.nPrefetchEntries) 547b1ded4e8Sguohongyu println(" nPrefetchBufferEntries: " + cacheParams.nPrefBufferEntries) 54834f9624dSguohongyu println(" prefetchPipeNum: " + cacheParams.prefetchPipeNum) 5497052722fSJay } 5507052722fSJay 5511d8f4dcbSJay val (bus, edge) = outer.clientNode.out.head 5521d8f4dcbSJay 5531d8f4dcbSJay val metaArray = Module(new ICacheMetaArray) 5540c26d810Sguohongyu val bankedMetaArray = Module(new ICacheBankedMetaArray(prefetchPipeNum + 1)) // need add 1 port for IPF filter 5551d8f4dcbSJay val dataArray = Module(new ICacheDataArray) 5562a25dbb4SJay val mainPipe = Module(new ICacheMainPipe) 5571d8f4dcbSJay val missUnit = Module(new ICacheMissUnit(edge)) 5580c26d810Sguohongyu val prefetchPipes = (0 until prefetchPipeNum).map( i => Module(new IPrefetchPipe)) 559b1ded4e8Sguohongyu val ipfBuffer = Module(new PrefetchBuffer) 5601d8f4dcbSJay 561b1ded4e8Sguohongyu val meta_read_arb = Module(new Arbiter(new ICacheReadBundle, 1)) 5629442775eSguohongyu val data_read_arb = Module(new Arbiter(Vec(partWayNum, new ICacheReadBundle), 1)) 563b1ded4e8Sguohongyu val meta_write_arb = Module(new Arbiter(new ICacheMetaWriteBundle(), 2)) 564b1ded4e8Sguohongyu val data_write_arb = Module(new Arbiter(new ICacheDataWriteBundle(), 2)) 5650c26d810Sguohongyu val prefetch_req_arb = Module(new Arbiter(new PIQReq, prefetchPipeNum)) 566b1ded4e8Sguohongyu 567b1ded4e8Sguohongyu mainPipe.io.PIQ <> missUnit.io.to_main_pipe 568b1ded4e8Sguohongyu ipfBuffer.io.read <> mainPipe.io.iprefetchBuf 569b1ded4e8Sguohongyu meta_write_arb.io.in(1) <> ipfBuffer.io.move.meta_write 570b1ded4e8Sguohongyu data_write_arb.io.in(1) <> ipfBuffer.io.move.data_write 571b1ded4e8Sguohongyu mainPipe.io.IPFBufMove <> ipfBuffer.io.replace 5720c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => ipfBuffer.io.filter_read(i) <> prefetchPipes(i).io.IPFBufferRead) 5730c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => mainPipe.io.missSlotInfo <> prefetchPipes(i).io.mainPipeMissSlotInfo) 574b1ded4e8Sguohongyu mainPipe.io.mainPipeMissInfo <> ipfBuffer.io.mainpipe_missinfo 575b1ded4e8Sguohongyu 576b1ded4e8Sguohongyu ipfBuffer.io.write <> missUnit.io.piq_write_ipbuffer 5771d8f4dcbSJay 5789442775eSguohongyu meta_read_arb.io.in(0) <> mainPipe.io.metaArray.toIMeta 5791d8f4dcbSJay metaArray.io.read <> meta_read_arb.io.out 5800c26d810Sguohongyu bankedMetaArray.io.read(0) <> ipfBuffer.io.meta_filter_read_req 5810c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => bankedMetaArray.io.read(i + 1) <> prefetchPipes(i).io.toIMeta) 5827052722fSJay 5832a25dbb4SJay mainPipe.io.metaArray.fromIMeta <> metaArray.io.readResp 5840c26d810Sguohongyu ipfBuffer.io.meta_filter_read_resp <> bankedMetaArray.io.readResp(0) 5850c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => bankedMetaArray.io.readResp(i + 1) <> prefetchPipes(i).io.fromIMeta) 5861d8f4dcbSJay 5879442775eSguohongyu data_read_arb.io.in(0) <> mainPipe.io.dataArray.toIData 5881d8f4dcbSJay dataArray.io.read <> data_read_arb.io.out 5892a25dbb4SJay mainPipe.io.dataArray.fromIData <> dataArray.io.readResp 5901d8f4dcbSJay 5912a25dbb4SJay mainPipe.io.respStall := io.stop 5922a25dbb4SJay io.perfInfo := mainPipe.io.perfInfo 5931d8f4dcbSJay 5949442775eSguohongyu meta_write_arb.io.in(0) <> missUnit.io.meta_write 595b1ded4e8Sguohongyu data_write_arb.io.in(0) <> missUnit.io.data_write 5961d8f4dcbSJay 597b1ded4e8Sguohongyu metaArray.io.write <> meta_write_arb.io.out 5980c26d810Sguohongyu bankedMetaArray.io.write <> meta_write_arb.io.out 599fd16c454SJenius 600b1ded4e8Sguohongyu dataArray.io.write <> data_write_arb.io.out 6011d8f4dcbSJay 602ecccf78fSJay mainPipe.io.csr_parity_enable := io.csr_parity_enable 603ecccf78fSJay 6047052722fSJay if(cacheParams.hasPrefetch){ 60534f9624dSguohongyu // TODO : perf enhance 60634f9624dSguohongyu val prefetchPipe_ready_vec = WireInit(VecInit(Seq.fill(prefetchPipeNum)(false.B))) 60734f9624dSguohongyu val alloc = RegInit(0.U(log2Up(prefetchPipeNum).W)) 60834f9624dSguohongyu alloc := alloc + io.prefetch.req.fire 6090c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => { 6100c26d810Sguohongyu prefetchPipes(i).io.fromFtq.req.valid := io.prefetch.req.valid && i.U === alloc 6110c26d810Sguohongyu prefetchPipes(i).io.fromFtq.req.bits := io.prefetch.req.bits 61234f9624dSguohongyu prefetchPipe_ready_vec(i) := prefetchPipes(i).io.fromFtq.req.ready && i.U === alloc 6130c26d810Sguohongyu }) 61434f9624dSguohongyu io.prefetch.req.ready := prefetchPipe_ready_vec.reduce(_||_) 615ecccf78fSJay when(!io.csr_pf_enable){ 6160c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => { 6170c26d810Sguohongyu prefetchPipes(i).io.fromFtq.req.valid := false.B 6180c26d810Sguohongyu }) 619ecccf78fSJay io.prefetch.req.ready := true.B 620ecccf78fSJay } 6217052722fSJay } else { 6220c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => prefetchPipes(i).io.fromFtq <> DontCare) 6237052722fSJay } 6247052722fSJay 62561e1db30SJay io.pmp(0) <> mainPipe.io.pmp(0) 62661e1db30SJay io.pmp(1) <> mainPipe.io.pmp(1) 6270c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => io.pmp(2 + i) <> prefetchPipes(i).io.pmp) 6280c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => { 6290c26d810Sguohongyu prefetchPipes(i).io.prefetchEnable := mainPipe.io.prefetchEnable 6300c26d810Sguohongyu prefetchPipes(i).io.prefetchDisable := mainPipe.io.prefetchDisable 6310c26d810Sguohongyu }) 6327052722fSJay 633a108d429SJay 63450780602SJenius //notify IFU that Icache pipeline is available 63550780602SJenius io.toIFU := mainPipe.io.fetch.req.ready 636a108d429SJay 6377052722fSJay 63891df15e5SJay io.itlb(0) <> mainPipe.io.itlb(0) 6397052722fSJay io.itlb(1) <> mainPipe.io.itlb(1) 6400c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => io.itlb(2 + i) <> prefetchPipes(i).io.iTLBInter) 6417052722fSJay 6421d8f4dcbSJay 643c5c5edaeSJenius io.fetch.resp <> mainPipe.io.fetch.resp 644c5c5edaeSJenius 645c5c5edaeSJenius for(i <- 0 until PortNumber){ 6462a25dbb4SJay missUnit.io.req(i) <> mainPipe.io.mshr(i).toMSHR 6472a25dbb4SJay mainPipe.io.mshr(i).fromMSHR <> missUnit.io.resp(i) 6481d8f4dcbSJay } 6491d8f4dcbSJay 6500c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => prefetch_req_arb.io.in(i) <> prefetchPipes(i).io.toMissUnit.enqReq) 6510c26d810Sguohongyu missUnit.io.prefetch_req <> prefetch_req_arb.io.out 65241cb8b61SJenius missUnit.io.hartId := io.hartId 6530c26d810Sguohongyu (0 until prefetchPipeNum).foreach(i => { 6540c26d810Sguohongyu prefetchPipes(i).io.fromMSHR <> missUnit.io.mshr_info 6550c26d810Sguohongyu prefetchPipes(i).io.fencei := false.B 6560c26d810Sguohongyu prefetchPipes(i).io.freePIQEntry := missUnit.io.freePIQEntry 6570c26d810Sguohongyu }) 65800240ba6SJay 6591d8f4dcbSJay bus.b.ready := false.B 6601d8f4dcbSJay bus.c.valid := false.B 6611d8f4dcbSJay bus.c.bits := DontCare 6621d8f4dcbSJay bus.e.valid := false.B 6631d8f4dcbSJay bus.e.bits := DontCare 6641d8f4dcbSJay 6651d8f4dcbSJay bus.a <> missUnit.io.mem_acquire 6661d8f4dcbSJay 6671d8f4dcbSJay // connect bus d 6681d8f4dcbSJay missUnit.io.mem_grant.valid := false.B 6691d8f4dcbSJay missUnit.io.mem_grant.bits := DontCare 6701d8f4dcbSJay 67158dbdfc2SJay //Parity error port 6724da04e5bSguohongyu val errors = mainPipe.io.errors 6730f59c834SWilliam Wang io.error <> RegNext(Mux1H(errors.map(e => e.valid -> e))) 67458dbdfc2SJay 6752a25dbb4SJay 6764da04e5bSguohongyu mainPipe.io.fetch.req <> io.fetch.req 6771d8f4dcbSJay bus.d.ready := false.B 6781d8f4dcbSJay missUnit.io.mem_grant <> bus.d 6791d8f4dcbSJay 680*2a6078bfSguohongyu // fencei connect 681*2a6078bfSguohongyu metaArray.io.fencei := io.fencei 682*2a6078bfSguohongyu bankedMetaArray.io.fencei := io.fencei 683*2a6078bfSguohongyu ipfBuffer.io.fencei := io.fencei 684*2a6078bfSguohongyu missUnit.io.fencei := io.fencei 685*2a6078bfSguohongyu 686*2a6078bfSguohongyu 6871d8f4dcbSJay val perfEvents = Seq( 6881d8f4dcbSJay ("icache_miss_cnt ", false.B), 6891d8f4dcbSJay ("icache_miss_penty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)), 6901d8f4dcbSJay ) 6911ca0e4f3SYinan Xu generatePerfEvent() 6921d8f4dcbSJay 6931d8f4dcbSJay // Customized csr cache op support 6941d8f4dcbSJay val cacheOpDecoder = Module(new CSRCacheOpDecoder("icache", CacheInstrucion.COP_ID_ICACHE)) 6951d8f4dcbSJay cacheOpDecoder.io.csr <> io.csr 6961d8f4dcbSJay dataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 6971d8f4dcbSJay metaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 6980c26d810Sguohongyu bankedMetaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 6991d8f4dcbSJay cacheOpDecoder.io.cache.resp.valid := 7001d8f4dcbSJay dataArray.io.cacheOp.resp.valid || 7011d8f4dcbSJay metaArray.io.cacheOp.resp.valid 7021d8f4dcbSJay cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 7031d8f4dcbSJay dataArray.io.cacheOp.resp.valid -> dataArray.io.cacheOp.resp.bits, 7041d8f4dcbSJay metaArray.io.cacheOp.resp.valid -> metaArray.io.cacheOp.resp.bits, 7051d8f4dcbSJay )) 7069ef181f4SWilliam Wang cacheOpDecoder.io.error := io.error 7071d8f4dcbSJay assert(!((dataArray.io.cacheOp.resp.valid +& metaArray.io.cacheOp.resp.valid) > 1.U)) 708adc7b752SJenius 70934f9624dSguohongyu if (env.EnableDifftest) { 71034f9624dSguohongyu val metaRefill = Module(new DifftestICacheMetaWrite) 71134f9624dSguohongyu metaRefill.io.index := 0.U 71234f9624dSguohongyu metaRefill.io.coreid := 0.U 71334f9624dSguohongyu metaRefill.io.clock := clock 71434f9624dSguohongyu metaRefill.io.valid := bankedMetaArray.io.write.valid 71534f9624dSguohongyu metaRefill.io.phyTag := bankedMetaArray.io.write.bits.phyTag 71634f9624dSguohongyu metaRefill.io.virIdx := bankedMetaArray.io.write.bits.virIdx 71734f9624dSguohongyu metaRefill.io.wayNum := OHToUInt(bankedMetaArray.io.write.bits.waymask) 71834f9624dSguohongyu metaRefill.io.timer := GTimer() 71934f9624dSguohongyu 72034f9624dSguohongyu (0 until prefetchPipeNum + 1).map {i => 72134f9624dSguohongyu val bankedMetaDiff = Module(new DifftestICacheBankedMetaRead) 72234f9624dSguohongyu bankedMetaDiff.io.coreid := 0.U 72334f9624dSguohongyu bankedMetaDiff.io.clock := clock 72434f9624dSguohongyu bankedMetaDiff.io.index := i.U 72534f9624dSguohongyu bankedMetaDiff.io.valid := RegNext(bankedMetaArray.io.read(i).fire) 72634f9624dSguohongyu bankedMetaDiff.io.idx := RegNext(bankedMetaArray.io.read(i).bits.idx) 72734f9624dSguohongyu bankedMetaDiff.io.entryValid := bankedMetaArray.io.readResp(i).entryValid 72834f9624dSguohongyu bankedMetaDiff.io.metaData := bankedMetaArray.io.readResp(i).metaData.map(_.tag) 72934f9624dSguohongyu bankedMetaDiff.io.timer := GTimer() 73034f9624dSguohongyu bankedMetaDiff 73134f9624dSguohongyu } 73234f9624dSguohongyu } 73334f9624dSguohongyu 734adc7b752SJenius} 735adc7b752SJenius 736adc7b752SJeniusclass ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) 737adc7b752SJenius extends ICacheBundle 738adc7b752SJenius{ 739adc7b752SJenius val req = Flipped(Vec(PortNumber, Decoupled(new Bundle{ 740adc7b752SJenius val ridx = UInt((log2Ceil(nSets) - 1).W) 741adc7b752SJenius }))) 742adc7b752SJenius val resp = Output(new Bundle{ 743adc7b752SJenius val rdata = Vec(PortNumber,Vec(pWay, gen)) 744adc7b752SJenius }) 745adc7b752SJenius} 746adc7b752SJenius 747adc7b752SJeniusclass ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) 748adc7b752SJenius extends ICacheBundle 749adc7b752SJenius{ 750adc7b752SJenius val wdata = gen 751adc7b752SJenius val widx = UInt((log2Ceil(nSets) - 1).W) 752adc7b752SJenius val wbankidx = Bool() 753adc7b752SJenius val wmask = Vec(pWay, Bool()) 754adc7b752SJenius} 755adc7b752SJenius 756adc7b752SJeniusclass ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray 757adc7b752SJenius{ 758adc7b752SJenius 759adc7b752SJenius //including part way data 760adc7b752SJenius val io = IO{new Bundle { 761adc7b752SJenius val read = new ICachePartWayReadBundle(gen,pWay) 762adc7b752SJenius val write = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay))) 763adc7b752SJenius }} 764adc7b752SJenius 765adc7b752SJenius io.read.req.map(_.ready := !io.write.valid) 766adc7b752SJenius 767adc7b752SJenius val srams = (0 until PortNumber) map { bank => 768adc7b752SJenius val sramBank = Module(new SRAMTemplate( 769adc7b752SJenius gen, 770adc7b752SJenius set=nSets/2, 771adc7b752SJenius way=pWay, 772adc7b752SJenius shouldReset = true, 773adc7b752SJenius holdRead = true, 774adc7b752SJenius singlePort = true 775adc7b752SJenius )) 776adc7b752SJenius 777adc7b752SJenius sramBank.io.r.req.valid := io.read.req(bank).valid 778adc7b752SJenius sramBank.io.r.req.bits.apply(setIdx= io.read.req(bank).bits.ridx) 779adc7b752SJenius 780adc7b752SJenius if(bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx 781adc7b752SJenius else sramBank.io.w.req.valid := io.write.valid && io.write.bits.wbankidx 782adc7b752SJenius sramBank.io.w.req.bits.apply(data=io.write.bits.wdata, setIdx=io.write.bits.widx, waymask=io.write.bits.wmask.asUInt()) 783adc7b752SJenius 784adc7b752SJenius sramBank 785adc7b752SJenius } 786adc7b752SJenius 787adc7b752SJenius io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_&&_)) 788adc7b752SJenius 789adc7b752SJenius io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay,gen)))) 790adc7b752SJenius 7911d8f4dcbSJay} 792