11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters 201d8f4dcbSJayimport chisel3._ 211d8f4dcbSJayimport chisel3.util._ 221d8f4dcbSJayimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 231d8f4dcbSJayimport freechips.rocketchip.tilelink._ 241d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase 251d8f4dcbSJayimport huancun.{AliasField, PreferCacheField, PrefetchField,DirtyField} 261d8f4dcbSJayimport xiangshan._ 271d8f4dcbSJayimport xiangshan.frontend._ 281d8f4dcbSJayimport xiangshan.cache._ 291d8f4dcbSJayimport utils._ 301d8f4dcbSJayimport xiangshan.cache.mmu.BlockTlbRequestIO 311d8f4dcbSJay 321d8f4dcbSJaycase class ICacheParameters( 331d8f4dcbSJay nSets: Int = 256, 341d8f4dcbSJay nWays: Int = 8, 351d8f4dcbSJay rowBits: Int = 64, 361d8f4dcbSJay nTLBEntries: Int = 32, 371d8f4dcbSJay tagECC: Option[String] = None, 381d8f4dcbSJay dataECC: Option[String] = None, 391d8f4dcbSJay replacer: Option[String] = Some("random"), 401d8f4dcbSJay nMissEntries: Int = 2, 411d8f4dcbSJay nReleaseEntries: Int = 2, 421d8f4dcbSJay nProbeEntries: Int = 2, 431d8f4dcbSJay nMMIOs: Int = 1, 441d8f4dcbSJay blockBytes: Int = 64 451d8f4dcbSJay)extends L1CacheParameters { 461d8f4dcbSJay 471d8f4dcbSJay val setBytes = nSets * blockBytes 481d8f4dcbSJay val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 491d8f4dcbSJay val reqFields: Seq[BundleFieldBase] = Seq( 501d8f4dcbSJay PrefetchField(), 511d8f4dcbSJay PreferCacheField() 521d8f4dcbSJay ) ++ aliasBitsOpt.map(AliasField) 531d8f4dcbSJay val echoFields: Seq[BundleFieldBase] = Seq(DirtyField()) 541d8f4dcbSJay def tagCode: Code = Code.fromString(tagECC) 551d8f4dcbSJay def dataCode: Code = Code.fromString(dataECC) 561d8f4dcbSJay def replacement = ReplacementPolicy.fromString(replacer,nWays,nSets) 571d8f4dcbSJay} 581d8f4dcbSJay 591d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst{ 601d8f4dcbSJay val cacheParams = icacheParameters 611d8f4dcbSJay val dataCodeUnit = 8 621d8f4dcbSJay val dataUnitNum = blockBits/dataCodeUnit 631d8f4dcbSJay 641d8f4dcbSJay def highestIdxBit = log2Ceil(nSets) - 1 651d8f4dcbSJay def dataCodeBits = cacheParams.dataCode.width(dataCodeUnit) 661d8f4dcbSJay def dataEntryBits = dataCodeBits * dataUnitNum 671d8f4dcbSJay 681d8f4dcbSJay val ICacheSets = cacheParams.nSets 691d8f4dcbSJay val ICacheWays = cacheParams.nWays 701d8f4dcbSJay 711d8f4dcbSJay val ICacheSameVPAddrLength = 12 722a25dbb4SJay val ReplaceIdWid = 5 731d8f4dcbSJay 741d8f4dcbSJay val ICacheWordOffset = 0 751d8f4dcbSJay val ICacheSetOffset = ICacheWordOffset + log2Up(blockBytes) 761d8f4dcbSJay val ICacheAboveIndexOffset = ICacheSetOffset + log2Up(ICacheSets) 771d8f4dcbSJay val ICacheTagOffset = ICacheAboveIndexOffset min ICacheSameVPAddrLength 781d8f4dcbSJay 792a25dbb4SJay def ReplacePipeKey = 0 802a25dbb4SJay def mainPipeKey = 1 811d8f4dcbSJay def ReleaseKey = 2 822a25dbb4SJay def MissQueueKey = 3 832a25dbb4SJay def ProbeKey = 4 841d8f4dcbSJay 851d8f4dcbSJay def PortNumber = 2 861d8f4dcbSJay 871d8f4dcbSJay def nMissEntries = cacheParams.nMissEntries 881d8f4dcbSJay 892a25dbb4SJay def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = { 902a25dbb4SJay val valid = RegInit(false.B) 912a25dbb4SJay when(thisFlush) {valid := false.B} 922a25dbb4SJay .elsewhen(lastFire && !lastFlush) {valid := true.B} 932a25dbb4SJay .elsewhen(thisFire) {valid := false.B} 942a25dbb4SJay valid 952a25dbb4SJay } 962a25dbb4SJay 972a25dbb4SJay def ResultHoldBypass[T<:Data](data: T, valid: Bool): T = { 982a25dbb4SJay Mux(valid, data, RegEnable(data, valid)) 992a25dbb4SJay } 1002a25dbb4SJay 1011d8f4dcbSJay require(isPow2(nMissEntries), s"nMissEntries($nMissEntries) must be pow2") 1021d8f4dcbSJay require(isPow2(nSets), s"nSets($nSets) must be pow2") 1031d8f4dcbSJay require(isPow2(nWays), s"nWays($nWays) must be pow2") 1041d8f4dcbSJay} 1051d8f4dcbSJay 1061d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle 1071d8f4dcbSJay with HasICacheParameters 1081d8f4dcbSJay 1091d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule 1101d8f4dcbSJay with HasICacheParameters 1111d8f4dcbSJay 1121d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule 1131d8f4dcbSJay with HasICacheParameters 1141d8f4dcbSJay 1151d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle { 1161d8f4dcbSJay val coh = new ClientMetadata 1171d8f4dcbSJay val tag = UInt(tagBits.W) 1181d8f4dcbSJay} 1191d8f4dcbSJay 1201d8f4dcbSJayobject ICacheMetadata { 1211d8f4dcbSJay def apply(tag: Bits, coh: ClientMetadata)(implicit p: Parameters) = { 1221d8f4dcbSJay val meta = Wire(new L1Metadata) 1231d8f4dcbSJay meta.tag := tag 1241d8f4dcbSJay meta.coh := coh 1251d8f4dcbSJay meta 1261d8f4dcbSJay } 1271d8f4dcbSJay} 1281d8f4dcbSJay 1291d8f4dcbSJay 1301d8f4dcbSJayclass ICacheMetaArray()(implicit p: Parameters) extends ICacheArray 1311d8f4dcbSJay{ 1321d8f4dcbSJay def onReset = ICacheMetadata(0.U, ClientMetadata.onReset) 1331d8f4dcbSJay val metaBits = onReset.getWidth 1341d8f4dcbSJay val metaEntryBits = cacheParams.tagCode.width(metaBits) 1351d8f4dcbSJay 1361d8f4dcbSJay val io=IO{new Bundle{ 1371d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheMetaWriteBundle)) 1381d8f4dcbSJay val read = Flipped(DecoupledIO(new ICacheReadBundle)) 1391d8f4dcbSJay val readResp = Output(new ICacheMetaRespBundle) 1401d8f4dcbSJay val fencei = Input(Bool()) 1411d8f4dcbSJay val cacheOp = Flipped(new DCacheInnerOpIO) // customized cache op port 1421d8f4dcbSJay }} 1431d8f4dcbSJay 1441d8f4dcbSJay io.read.ready := !io.write.valid 1451d8f4dcbSJay 1461d8f4dcbSJay val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0) 1471d8f4dcbSJay val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0) 1481d8f4dcbSJay val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 1491d8f4dcbSJay val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 1501d8f4dcbSJay 1511d8f4dcbSJay val port_0_read_0_reg = RegEnable(next = port_0_read_0, enable = io.read.fire()) 1521d8f4dcbSJay val port_0_read_1_reg = RegEnable(next = port_0_read_1, enable = io.read.fire()) 1531d8f4dcbSJay val port_1_read_1_reg = RegEnable(next = port_1_read_1, enable = io.read.fire()) 1541d8f4dcbSJay val port_1_read_0_reg = RegEnable(next = port_1_read_0, enable = io.read.fire()) 1551d8f4dcbSJay 1561d8f4dcbSJay val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 1571d8f4dcbSJay val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 1581d8f4dcbSJay 1591d8f4dcbSJay val write_bank_0 = io.write.valid && !io.write.bits.bankIdx 1601d8f4dcbSJay val write_bank_1 = io.write.valid && io.write.bits.bankIdx 1611d8f4dcbSJay 1621d8f4dcbSJay val write_meta_bits = Wire(UInt(metaEntryBits.W)) 1631d8f4dcbSJay 1641d8f4dcbSJay val tagArrays = (0 until 2) map { bank => 1651d8f4dcbSJay val tagArray = Module(new SRAMTemplate( 1661d8f4dcbSJay UInt(metaEntryBits.W), 1671d8f4dcbSJay set=nSets/2, 1681d8f4dcbSJay way=nWays, 1691d8f4dcbSJay shouldReset = true, 1701d8f4dcbSJay holdRead = true, 1711d8f4dcbSJay singlePort = true 1721d8f4dcbSJay )) 1731d8f4dcbSJay 1741d8f4dcbSJay //meta connection 1751d8f4dcbSJay if(bank == 0) { 1761d8f4dcbSJay tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0 1771d8f4dcbSJay tagArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1)) 1781d8f4dcbSJay tagArray.io.w.req.valid := write_bank_0 1791d8f4dcbSJay tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 1801d8f4dcbSJay } 1811d8f4dcbSJay else { 1821d8f4dcbSJay tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1 1831d8f4dcbSJay tagArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1)) 1841d8f4dcbSJay tagArray.io.w.req.valid := write_bank_1 1851d8f4dcbSJay tagArray.io.w.req.bits.apply(data=write_meta_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 1861d8f4dcbSJay } 1871d8f4dcbSJay 1881d8f4dcbSJay tagArray 1891d8f4dcbSJay } 1901d8f4dcbSJay //Parity Decode 1911d8f4dcbSJay val read_metas = Wire(Vec(2,Vec(nWays,new ICacheMetadata()))) 1921d8f4dcbSJay for((tagArray,i) <- tagArrays.zipWithIndex){ 1931d8f4dcbSJay val read_meta_bits = tagArray.io.r.resp.asTypeOf(Vec(nWays,UInt(metaEntryBits.W))) 1941d8f4dcbSJay val read_meta_decoded = read_meta_bits.map{ way_bits => cacheParams.tagCode.decode(way_bits)} 1951d8f4dcbSJay val read_meta_wrong = read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.error} 1961d8f4dcbSJay val read_meta_corrected = VecInit(read_meta_decoded.map{ way_bits_decoded => way_bits_decoded.corrected}) 1971d8f4dcbSJay read_metas(i) := read_meta_corrected.asTypeOf(Vec(nWays,new ICacheMetadata())) 1981d8f4dcbSJay (0 until nWays).map{ w => io.readResp.errors(i)(w) := RegNext(io.read.fire()) && read_meta_wrong(w)} 1991d8f4dcbSJay } 2001d8f4dcbSJay 2011d8f4dcbSJay //Parity Encode 2021d8f4dcbSJay val write = io.write.bits 2031d8f4dcbSJay write_meta_bits := cacheParams.tagCode.encode(ICacheMetadata(tag = write.phyTag, coh = write.coh).asUInt) 2041d8f4dcbSJay 2051d8f4dcbSJay // when(io.write.valid){ 2061d8f4dcbSJay // printf("[time:%d ] idx:%x ptag:%x waymask:%x coh:%x\n", GTimer().asUInt, write.virIdx, write.phyTag, write.waymask, write.coh.asUInt) 2071d8f4dcbSJay // } 2081d8f4dcbSJay 2091d8f4dcbSJay val readIdxNext = RegEnable(next = io.read.bits.vSetIdx, enable = io.read.fire()) 2101d8f4dcbSJay val validArray = RegInit(0.U((nSets * nWays).W)) 2111d8f4dcbSJay val validMetas = VecInit((0 until 2).map{ bank => 2121d8f4dcbSJay val validMeta = Cat((0 until nWays).map{w => validArray( Cat(readIdxNext(bank), w.U(log2Ceil(nWays).W)) )}.reverse).asUInt 2131d8f4dcbSJay validMeta 2141d8f4dcbSJay }) 2151d8f4dcbSJay 2161d8f4dcbSJay val wayNum = OHToUInt(io.write.bits.waymask) 2171d8f4dcbSJay val validPtr = Cat(io.write.bits.virIdx, wayNum) 2181d8f4dcbSJay when(io.write.valid){ 2191d8f4dcbSJay validArray := validArray.bitSet(validPtr, true.B) 2201d8f4dcbSJay } 2211d8f4dcbSJay 2221d8f4dcbSJay when(io.fencei){ validArray := 0.U } 2231d8f4dcbSJay 2241d8f4dcbSJay io.readResp.metaData <> DontCare 2251d8f4dcbSJay when(port_0_read_0_reg){ 2261d8f4dcbSJay io.readResp.metaData(0) := read_metas(0) 2271d8f4dcbSJay }.elsewhen(port_0_read_1_reg){ 2281d8f4dcbSJay io.readResp.metaData(0) := read_metas(1) 2291d8f4dcbSJay } 2301d8f4dcbSJay 2311d8f4dcbSJay when(port_1_read_0_reg){ 2321d8f4dcbSJay io.readResp.metaData(1) := read_metas(0) 2331d8f4dcbSJay }.elsewhen(port_1_read_1_reg){ 2341d8f4dcbSJay io.readResp.metaData(1) := read_metas(1) 2351d8f4dcbSJay } 2361d8f4dcbSJay 2371d8f4dcbSJay (io.readResp.valid zip validMetas).map {case (io, reg) => io := reg.asTypeOf(Vec(nWays,Bool()))} 2381d8f4dcbSJay 2391d8f4dcbSJay io.write.ready := true.B 2401d8f4dcbSJay // deal with customized cache op 2411d8f4dcbSJay require(nWays <= 32) 2421d8f4dcbSJay io.cacheOp.resp.bits := DontCare 2431d8f4dcbSJay val cacheOpShouldResp = WireInit(false.B) 2441d8f4dcbSJay when(io.cacheOp.req.valid){ 2451d8f4dcbSJay when( 2461d8f4dcbSJay CacheInstrucion.isReadTag(io.cacheOp.req.bits.opCode) || 2471d8f4dcbSJay CacheInstrucion.isReadTagECC(io.cacheOp.req.bits.opCode) 2481d8f4dcbSJay ){ 2491d8f4dcbSJay for (i <- 0 until 2) { 2501d8f4dcbSJay tagArrays(i).io.r.req.valid := true.B 2511d8f4dcbSJay tagArrays(i).io.r.req.bits.apply(setIdx = io.cacheOp.req.bits.index) 2521d8f4dcbSJay } 2531d8f4dcbSJay cacheOpShouldResp := true.B 2541d8f4dcbSJay } 2551d8f4dcbSJay when(CacheInstrucion.isWriteTag(io.cacheOp.req.bits.opCode)){ 2561d8f4dcbSJay for (i <- 0 until 2) { 2571d8f4dcbSJay tagArrays(i).io.w.req.valid := true.B 2581d8f4dcbSJay tagArrays(i).io.w.req.bits.apply( 2591d8f4dcbSJay data = io.cacheOp.req.bits.write_tag_low, 2601d8f4dcbSJay setIdx = io.cacheOp.req.bits.index, 2611d8f4dcbSJay waymask = UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 2621d8f4dcbSJay ) 2631d8f4dcbSJay } 2641d8f4dcbSJay cacheOpShouldResp := true.B 2651d8f4dcbSJay } 2661d8f4dcbSJay // TODO 2671d8f4dcbSJay // when(CacheInstrucion.isWriteTagECC(io.cacheOp.req.bits.opCode)){ 2681d8f4dcbSJay // for (i <- 0 until readPorts) { 2691d8f4dcbSJay // array(i).io.ecc_write.valid := true.B 2701d8f4dcbSJay // array(i).io.ecc_write.bits.idx := io.cacheOp.req.bits.index 2711d8f4dcbSJay // array(i).io.ecc_write.bits.way_en := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 2721d8f4dcbSJay // array(i).io.ecc_write.bits.ecc := io.cacheOp.req.bits.write_tag_ecc 2731d8f4dcbSJay // } 2741d8f4dcbSJay // cacheOpShouldResp := true.B 2751d8f4dcbSJay // } 2761d8f4dcbSJay } 2771d8f4dcbSJay io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp) 2781d8f4dcbSJay io.cacheOp.resp.bits.read_tag_low := Mux(io.cacheOp.resp.valid, 2791d8f4dcbSJay tagArrays(0).io.r.resp.asTypeOf(Vec(nWays, UInt(tagBits.W)))(io.cacheOp.req.bits.wayNum), 2801d8f4dcbSJay 0.U 2811d8f4dcbSJay ) 2821d8f4dcbSJay io.cacheOp.resp.bits.read_tag_ecc := DontCare // TODO 2831d8f4dcbSJay // TODO: deal with duplicated array 2841d8f4dcbSJay} 2851d8f4dcbSJay 2861d8f4dcbSJay 2871d8f4dcbSJayclass ICacheDataArray(implicit p: Parameters) extends ICacheArray 2881d8f4dcbSJay{ 2891d8f4dcbSJay val io=IO{new Bundle{ 2901d8f4dcbSJay val write = Flipped(DecoupledIO(new ICacheDataWriteBundle)) 2911d8f4dcbSJay val read = Flipped(DecoupledIO(new ICacheReadBundle)) 2921d8f4dcbSJay val readResp = Output(new ICacheDataRespBundle) 2931d8f4dcbSJay val cacheOp = Flipped(new DCacheInnerOpIO) // customized cache op port 2941d8f4dcbSJay }} 2951d8f4dcbSJay 2961d8f4dcbSJay io.read.ready := !io.write.valid 2971d8f4dcbSJay 2981d8f4dcbSJay val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0) 2991d8f4dcbSJay val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0) 3001d8f4dcbSJay val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 3011d8f4dcbSJay val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine 3021d8f4dcbSJay 3031d8f4dcbSJay val port_0_read_1_reg = RegEnable(next = port_0_read_1, enable = io.read.fire()) 3041d8f4dcbSJay val port_1_read_0_reg = RegEnable(next = port_1_read_0, enable = io.read.fire()) 3051d8f4dcbSJay 3061d8f4dcbSJay val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 3071d8f4dcbSJay val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1)) 3081d8f4dcbSJay 3091d8f4dcbSJay val write_bank_0 = io.write.valid && !io.write.bits.bankIdx 3101d8f4dcbSJay val write_bank_1 = io.write.valid && io.write.bits.bankIdx 3111d8f4dcbSJay 3121d8f4dcbSJay val write_data_bits = Wire(UInt(dataEntryBits.W)) 3131d8f4dcbSJay 3141d8f4dcbSJay val dataArrays = (0 until 2) map { i => 3151d8f4dcbSJay val dataArray = Module(new SRAMTemplate( 3161d8f4dcbSJay UInt(dataEntryBits.W), 3171d8f4dcbSJay set=nSets/2, 3181d8f4dcbSJay way=nWays, 3191d8f4dcbSJay shouldReset = true, 3201d8f4dcbSJay holdRead = true, 3211d8f4dcbSJay singlePort = true 3221d8f4dcbSJay )) 3231d8f4dcbSJay 3241d8f4dcbSJay if(i == 0) { 3251d8f4dcbSJay dataArray.io.r.req.valid := port_0_read_0 || port_1_read_0 3261d8f4dcbSJay dataArray.io.r.req.bits.apply(setIdx=bank_0_idx(highestIdxBit,1)) 3271d8f4dcbSJay dataArray.io.w.req.valid := write_bank_0 3281d8f4dcbSJay dataArray.io.w.req.bits.apply(data=write_data_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 3291d8f4dcbSJay } 3301d8f4dcbSJay else { 3311d8f4dcbSJay dataArray.io.r.req.valid := port_0_read_1 || port_1_read_1 3321d8f4dcbSJay dataArray.io.r.req.bits.apply(setIdx=bank_1_idx(highestIdxBit,1)) 3331d8f4dcbSJay dataArray.io.w.req.valid := write_bank_1 3341d8f4dcbSJay dataArray.io.w.req.bits.apply(data=write_data_bits, setIdx=io.write.bits.virIdx(highestIdxBit,1), waymask=io.write.bits.waymask) 3351d8f4dcbSJay } 3361d8f4dcbSJay 3371d8f4dcbSJay dataArray 3381d8f4dcbSJay } 3391d8f4dcbSJay 3401d8f4dcbSJay //Parity Decode 3411d8f4dcbSJay val read_datas = Wire(Vec(2,Vec(nWays,UInt(blockBits.W) ))) 3421d8f4dcbSJay for((dataArray,i) <- dataArrays.zipWithIndex){ 3431d8f4dcbSJay val read_data_bits = dataArray.io.r.resp.asTypeOf(Vec(nWays,Vec(dataUnitNum, UInt(dataCodeBits.W)))) 3441d8f4dcbSJay val read_data_decoded = read_data_bits.map{way_bits => way_bits.map(unit => cacheParams.dataCode.decode(unit))} 3451d8f4dcbSJay val read_data_wrong = VecInit(read_data_decoded.map{way_bits_decoded => VecInit(way_bits_decoded.map(unit_decoded => unit_decoded.error ))}) 3461d8f4dcbSJay val read_data_corrected = VecInit(read_data_decoded.map{way_bits_decoded => VecInit(way_bits_decoded.map(unit_decoded => unit_decoded.corrected )).asUInt}) 3471d8f4dcbSJay read_datas(i) := read_data_corrected.asTypeOf(Vec(nWays,UInt(blockBits.W))) 3481d8f4dcbSJay (0 until nWays).map{ w => io.readResp.errors(i)(w) := RegNext(io.read.fire()) && read_data_wrong(w).asUInt.orR } 3491d8f4dcbSJay } 3501d8f4dcbSJay 3511d8f4dcbSJay //Parity Encode 3521d8f4dcbSJay val write = io.write.bits 3531d8f4dcbSJay val write_data = write.data.asTypeOf(Vec(dataUnitNum, UInt(dataCodeUnit.W))) 3541d8f4dcbSJay val write_data_encoded = VecInit(write_data.map( unit_bits => cacheParams.dataCode.encode(unit_bits) )) 3551d8f4dcbSJay write_data_bits := write_data_encoded.asUInt 3561d8f4dcbSJay 3571d8f4dcbSJay io.readResp.datas(0) := Mux( port_0_read_1_reg, read_datas(1) , read_datas(0)) 3581d8f4dcbSJay io.readResp.datas(1) := Mux( port_1_read_0_reg, read_datas(0) , read_datas(1)) 3591d8f4dcbSJay 3601d8f4dcbSJay io.write.ready := true.B 3611d8f4dcbSJay 3621d8f4dcbSJay // deal with customized cache op 3631d8f4dcbSJay require(nWays <= 32) 3641d8f4dcbSJay io.cacheOp.resp.bits := DontCare 3651d8f4dcbSJay val cacheOpShouldResp = WireInit(false.B) 3661d8f4dcbSJay when(io.cacheOp.req.valid){ 3671d8f4dcbSJay when( 3681d8f4dcbSJay CacheInstrucion.isReadData(io.cacheOp.req.bits.opCode) || 3691d8f4dcbSJay CacheInstrucion.isReadDataECC(io.cacheOp.req.bits.opCode) 3701d8f4dcbSJay ){ 3711d8f4dcbSJay (0 until 2).map(i => { 3721d8f4dcbSJay dataArrays(i).io.r.req.valid := true.B 3731d8f4dcbSJay dataArrays(i).io.r.req.bits.apply(setIdx = io.cacheOp.req.bits.index) 3741d8f4dcbSJay }) 3751d8f4dcbSJay cacheOpShouldResp := true.B 3761d8f4dcbSJay } 3771d8f4dcbSJay when(CacheInstrucion.isWriteData(io.cacheOp.req.bits.opCode)){ 3781d8f4dcbSJay (0 until 2).map(i => { 3791d8f4dcbSJay dataArrays(i).io.w.req.valid := io.cacheOp.req.bits.bank_num === i.U 3801d8f4dcbSJay dataArrays(i).io.w.req.bits.apply( 3811d8f4dcbSJay data = io.cacheOp.req.bits.write_data_vec.asUInt, 3821d8f4dcbSJay setIdx = io.cacheOp.req.bits.index, 3831d8f4dcbSJay waymask = UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 3841d8f4dcbSJay ) 3851d8f4dcbSJay }) 3861d8f4dcbSJay cacheOpShouldResp := true.B 3871d8f4dcbSJay } 3881d8f4dcbSJay // when(CacheInstrucion.isWriteDataECC(io.cacheOp.req.bits.opCode)){ 3891d8f4dcbSJay // for (bank_index <- 0 until DCacheBanks) { 3901d8f4dcbSJay // val ecc_bank = ecc_banks(bank_index) 3911d8f4dcbSJay // ecc_bank.io.w.req.valid := true.B 3921d8f4dcbSJay // ecc_bank.io.w.req.bits.apply( 3931d8f4dcbSJay // setIdx = io.cacheOp.req.bits.index, 3941d8f4dcbSJay // data = io.cacheOp.req.bits.write_data_ecc, 3951d8f4dcbSJay // waymask = UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 3961d8f4dcbSJay // ) 3971d8f4dcbSJay // } 3981d8f4dcbSJay // cacheOpShouldResp := true.B 3991d8f4dcbSJay // } 4001d8f4dcbSJay } 4011d8f4dcbSJay io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp) 4021d8f4dcbSJay val dataresp = Mux(io.cacheOp.req.bits.bank_num(0).asBool, 4031d8f4dcbSJay dataArrays(0).io.r.resp.data.asTypeOf(Vec(nWays, UInt(blockBits.W))), 4041d8f4dcbSJay dataArrays(1).io.r.resp.data.asTypeOf(Vec(nWays, UInt(blockBits.W))) 4051d8f4dcbSJay ) 4061d8f4dcbSJay 4071d8f4dcbSJay val numICacheLineWords = blockBits / 64 4081d8f4dcbSJay require(blockBits >= 64 && isPow2(blockBits)) 4091d8f4dcbSJay for (wordIndex <- 0 until numICacheLineWords) { 4101d8f4dcbSJay io.cacheOp.resp.bits.read_data_vec(wordIndex) := dataresp(io.cacheOp.req.bits.wayNum(4, 0))(64*(wordIndex+1)-1, 64*wordIndex) 4111d8f4dcbSJay } 4121d8f4dcbSJay // io.cacheOp.resp.bits.read_data_ecc := Mux(io.cacheOp.resp.valid, 4131d8f4dcbSJay // bank_result(io.cacheOp.req.bits.bank_num).ecc, 4141d8f4dcbSJay // 0.U 4151d8f4dcbSJay // ) 4161d8f4dcbSJay} 4171d8f4dcbSJay 4181d8f4dcbSJay 4191d8f4dcbSJayclass ICacheIO(implicit p: Parameters) extends ICacheBundle 4201d8f4dcbSJay{ 4211d8f4dcbSJay val fencei = Input(Bool()) 4221d8f4dcbSJay val stop = Input(Bool()) 4231d8f4dcbSJay val csr = new L1CacheToCsrIO 4241d8f4dcbSJay val fetch = Vec(PortNumber, new ICacheMainPipeBundle) 4251d8f4dcbSJay val pmp = Vec(PortNumber, new ICachePMPBundle) 4261d8f4dcbSJay val itlb = Vec(PortNumber, new BlockTlbRequestIO) 4271d8f4dcbSJay val perfInfo = Output(new ICachePerfInfo) 4281d8f4dcbSJay} 4291d8f4dcbSJay 4301d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters { 4311d8f4dcbSJay 4321d8f4dcbSJay val clientParameters = TLMasterPortParameters.v1( 4331d8f4dcbSJay Seq(TLMasterParameters.v1( 4341d8f4dcbSJay name = "icache", 4351d8f4dcbSJay sourceId = IdRange(0, cacheParams.nMissEntries + cacheParams.nReleaseEntries), 4361d8f4dcbSJay supportsProbe = TransferSizes(blockBytes) 4371d8f4dcbSJay )), 4381d8f4dcbSJay requestFields = cacheParams.reqFields, 4391d8f4dcbSJay echoFields = cacheParams.echoFields 4401d8f4dcbSJay ) 4411d8f4dcbSJay 4421d8f4dcbSJay val clientNode = TLClientNode(Seq(clientParameters)) 4431d8f4dcbSJay 4441d8f4dcbSJay lazy val module = new ICacheImp(this) 4451d8f4dcbSJay} 4461d8f4dcbSJay 447*1ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents { 4481d8f4dcbSJay val io = IO(new ICacheIO) 4491d8f4dcbSJay 4501d8f4dcbSJay val (bus, edge) = outer.clientNode.out.head 4511d8f4dcbSJay 4521d8f4dcbSJay val metaArray = Module(new ICacheMetaArray) 4531d8f4dcbSJay val dataArray = Module(new ICacheDataArray) 4542a25dbb4SJay val mainPipe = Module(new ICacheMainPipe) 4551d8f4dcbSJay val missUnit = Module(new ICacheMissUnit(edge)) 4561d8f4dcbSJay val releaseUnit = Module(new ReleaseUnit(edge)) 4572a25dbb4SJay val replacePipe = Module(new ReplacePipe) 4581d8f4dcbSJay val probeQueue = Module(new ICacheProbeQueue(edge)) 4591d8f4dcbSJay 4601d8f4dcbSJay val meta_read_arb = Module(new Arbiter(new ICacheReadBundle, 2)) 4611d8f4dcbSJay val data_read_arb = Module(new Arbiter(new ICacheReadBundle, 2)) 4622a25dbb4SJay val meta_write_arb = Module(new Arbiter(new ICacheMetaWriteBundle(), 2 )) 4632a25dbb4SJay val replace_req_arb = Module(new Arbiter(new ReplacePipeReq, 2)) 4641d8f4dcbSJay 4652a25dbb4SJay meta_read_arb.io.in(ReplacePipeKey) <> replacePipe.io.meta_read 4662a25dbb4SJay meta_read_arb.io.in(mainPipeKey) <> mainPipe.io.metaArray.toIMeta 4671d8f4dcbSJay metaArray.io.read <> meta_read_arb.io.out 4682a25dbb4SJay replacePipe.io.meta_response <> metaArray.io.readResp 4692a25dbb4SJay mainPipe.io.metaArray.fromIMeta <> metaArray.io.readResp 4701d8f4dcbSJay 4712a25dbb4SJay data_read_arb.io.in(ReplacePipeKey) <> replacePipe.io.data_read 4722a25dbb4SJay data_read_arb.io.in(mainPipeKey) <> mainPipe.io.dataArray.toIData 4731d8f4dcbSJay dataArray.io.read <> data_read_arb.io.out 4742a25dbb4SJay replacePipe.io.data_response <> dataArray.io.readResp 4752a25dbb4SJay mainPipe.io.dataArray.fromIData <> dataArray.io.readResp 4761d8f4dcbSJay 4772a25dbb4SJay mainPipe.io.respStall := io.stop 4782a25dbb4SJay io.perfInfo := mainPipe.io.perfInfo 4791d8f4dcbSJay 4802a25dbb4SJay meta_write_arb.io.in(ReplacePipeKey) <> replacePipe.io.meta_write 4812a25dbb4SJay meta_write_arb.io.in(mainPipeKey) <> missUnit.io.meta_write 4821d8f4dcbSJay 4831d8f4dcbSJay metaArray.io.write <> meta_write_arb.io.out 4841d8f4dcbSJay dataArray.io.write <> missUnit.io.data_write 4851d8f4dcbSJay 4862a25dbb4SJay io.itlb <> mainPipe.io.itlb 4872a25dbb4SJay io.pmp <> mainPipe.io.pmp 4881d8f4dcbSJay for(i <- 0 until PortNumber){ 4892a25dbb4SJay io.fetch(i).resp <> mainPipe.io.fetch(i).resp 4901d8f4dcbSJay 4912a25dbb4SJay missUnit.io.req(i) <> mainPipe.io.mshr(i).toMSHR 4922a25dbb4SJay mainPipe.io.mshr(i).fromMSHR <> missUnit.io.resp(i) 4931d8f4dcbSJay 4941d8f4dcbSJay } 4951d8f4dcbSJay 4961d8f4dcbSJay bus.b.ready := false.B 4971d8f4dcbSJay bus.c.valid := false.B 4981d8f4dcbSJay bus.c.bits := DontCare 4991d8f4dcbSJay bus.e.valid := false.B 5001d8f4dcbSJay bus.e.bits := DontCare 5011d8f4dcbSJay 5021d8f4dcbSJay metaArray.io.fencei := io.fencei 5031d8f4dcbSJay bus.a <> missUnit.io.mem_acquire 5041d8f4dcbSJay bus.e <> missUnit.io.mem_finish 5051d8f4dcbSJay 5062a25dbb4SJay releaseUnit.io.req(0) <> replacePipe.io.release_req 5072a25dbb4SJay releaseUnit.io.req(1) <> DontCare//mainPipe.io.toReleaseUnit(1) 5081d8f4dcbSJay bus.c <> releaseUnit.io.mem_release 5091d8f4dcbSJay 5101d8f4dcbSJay // connect bus d 5111d8f4dcbSJay missUnit.io.mem_grant.valid := false.B 5121d8f4dcbSJay missUnit.io.mem_grant.bits := DontCare 5131d8f4dcbSJay 5141d8f4dcbSJay releaseUnit.io.mem_grant.valid := false.B 5151d8f4dcbSJay releaseUnit.io.mem_grant.bits := DontCare 5161d8f4dcbSJay 5171d8f4dcbSJay //Probe through bus b 5181d8f4dcbSJay probeQueue.io.mem_probe <> bus.b 5191d8f4dcbSJay 5202a25dbb4SJay 5212a25dbb4SJay /** Block set-conflict request */ 5222a25dbb4SJay val probeReqValid = probeQueue.io.pipe_req.valid 5232a25dbb4SJay val probeReqVidx = probeQueue.io.pipe_req.bits.vidx 5242a25dbb4SJay 5252a25dbb4SJay val hasVictim = VecInit(missUnit.io.victimInfor.map(_.valid)) 5262a25dbb4SJay val victimSetSeq = VecInit(missUnit.io.victimInfor.map(_.vidx)) 5272a25dbb4SJay 5282a25dbb4SJay val probeShouldBlock = VecInit(hasVictim.zip(victimSetSeq).map{case(valid, idx) => valid && probeReqValid && idx === probeReqVidx }).reduce(_||_) 5292a25dbb4SJay 5302a25dbb4SJay val releaseReqValid = missUnit.io.release_req.valid 5312a25dbb4SJay val releaseReqVidx = missUnit.io.release_req.bits.vidx 5322a25dbb4SJay 5332a25dbb4SJay val hasConflict = VecInit(Seq( 5342a25dbb4SJay replacePipe.io.status.r1_set.valid, 5352a25dbb4SJay replacePipe.io.status.r2_set.valid 5361d8f4dcbSJay )) 5371d8f4dcbSJay 5382a25dbb4SJay val conflictIdx = VecInit(Seq( 5392a25dbb4SJay replacePipe.io.status.r1_set.bits, 5402a25dbb4SJay replacePipe.io.status.r2_set.bits 5411d8f4dcbSJay )) 5421d8f4dcbSJay 5432a25dbb4SJay val releaseShouldBlock = VecInit(hasConflict.zip(conflictIdx).map{case(valid, idx) => valid && releaseReqValid && idx === releaseReqVidx }).reduce(_||_) 5441d8f4dcbSJay 54592acb6b9SJay replace_req_arb.io.in(ReplacePipeKey) <> probeQueue.io.pipe_req 54692acb6b9SJay replace_req_arb.io.in(ReplacePipeKey).valid := probeQueue.io.pipe_req.valid && !probeShouldBlock 54792acb6b9SJay replace_req_arb.io.in(mainPipeKey) <> missUnit.io.release_req 54892acb6b9SJay replace_req_arb.io.in(mainPipeKey).valid := missUnit.io.release_req.valid && !releaseShouldBlock 54992acb6b9SJay replacePipe.io.pipe_req <> replace_req_arb.io.out 55092acb6b9SJay 551c90cd2d1SJay when(releaseShouldBlock){ 552c90cd2d1SJay missUnit.io.release_req.ready := false.B 553c90cd2d1SJay } 554c90cd2d1SJay 555c90cd2d1SJay when(probeShouldBlock){ 556c90cd2d1SJay probeQueue.io.pipe_req.ready := false.B 557c90cd2d1SJay } 558c90cd2d1SJay 559c90cd2d1SJay 56092acb6b9SJay missUnit.io.release_resp <> replacePipe.io.pipe_resp 56192acb6b9SJay 5621d8f4dcbSJay 5632a25dbb4SJay (0 until PortNumber).map{i => 5642a25dbb4SJay mainPipe.io.fetch(i).req.valid := io.fetch(i).req.valid //&& !fetchShouldBlock(i) 5652a25dbb4SJay io.fetch(i).req.ready := mainPipe.io.fetch(i).req.ready //&& !fetchShouldBlock(i) 5662a25dbb4SJay mainPipe.io.fetch(i).req.bits := io.fetch(i).req.bits 5672a25dbb4SJay } 5681d8f4dcbSJay 5691d8f4dcbSJay // in L1ICache, we only expect GrantData and ReleaseAck 5701d8f4dcbSJay bus.d.ready := false.B 5711d8f4dcbSJay when ( bus.d.bits.opcode === TLMessages.GrantData) { 5721d8f4dcbSJay missUnit.io.mem_grant <> bus.d 5731d8f4dcbSJay } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 5741d8f4dcbSJay releaseUnit.io.mem_grant <> bus.d 5751d8f4dcbSJay } .otherwise { 5761d8f4dcbSJay assert (!bus.d.fire()) 5771d8f4dcbSJay } 5781d8f4dcbSJay 5791d8f4dcbSJay val perfEvents = Seq( 5801d8f4dcbSJay ("icache_miss_cnt ", false.B), 5811d8f4dcbSJay ("icache_miss_penty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true)), 5821d8f4dcbSJay ) 583*1ca0e4f3SYinan Xu generatePerfEvent() 5841d8f4dcbSJay 5851d8f4dcbSJay // Customized csr cache op support 5861d8f4dcbSJay val cacheOpDecoder = Module(new CSRCacheOpDecoder("icache", CacheInstrucion.COP_ID_ICACHE)) 5871d8f4dcbSJay cacheOpDecoder.io.csr <> io.csr 5881d8f4dcbSJay dataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 5891d8f4dcbSJay metaArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 5901d8f4dcbSJay cacheOpDecoder.io.cache.resp.valid := 5911d8f4dcbSJay dataArray.io.cacheOp.resp.valid || 5921d8f4dcbSJay metaArray.io.cacheOp.resp.valid 5931d8f4dcbSJay cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 5941d8f4dcbSJay dataArray.io.cacheOp.resp.valid -> dataArray.io.cacheOp.resp.bits, 5951d8f4dcbSJay metaArray.io.cacheOp.resp.valid -> metaArray.io.cacheOp.resp.bits, 5961d8f4dcbSJay )) 5971d8f4dcbSJay assert(!((dataArray.io.cacheOp.resp.valid +& metaArray.io.cacheOp.resp.valid) > 1.U)) 5981d8f4dcbSJay 5991d8f4dcbSJay}