xref: /XiangShan/src/main/scala/xiangshan/frontend/SC.scala (revision 30f35717e23156cb95b30a36db530384545b48a4)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
15c49ebec8SHaoyuan Feng*
16c49ebec8SHaoyuan Feng*
17c49ebec8SHaoyuan Feng* Acknowledgement
18c49ebec8SHaoyuan Feng*
19c49ebec8SHaoyuan Feng* This implementation is inspired by several key papers:
20c49ebec8SHaoyuan Feng* [1] André Seznec. "[Tage-sc-l branch predictors.](https://inria.hal.science/hal-01086920)" The Journal of
21c49ebec8SHaoyuan Feng* Instruction-Level Parallelism (JILP) 4th JILP Workshop on Computer Architecture Competitions (JWAC): Championship
22c49ebec8SHaoyuan Feng* Branch Prediction (CBP). 2014.
23c49ebec8SHaoyuan Feng* [2] André Seznec. "[Tage-sc-l branch predictors again.](https://inria.hal.science/hal-01354253)" The Journal of
24c49ebec8SHaoyuan Feng* Instruction-Level Parallelism (JILP) 5th JILP Workshop on Computer Architecture Competitions (JWAC): Championship
25c49ebec8SHaoyuan Feng* Branch Prediction (CBP). 2016.
2609c6f1ddSLingrui98***************************************************************************************/
2709c6f1ddSLingrui98
2809c6f1ddSLingrui98package xiangshan.frontend
2909c6f1ddSLingrui98
3009c6f1ddSLingrui98import chisel3._
3109c6f1ddSLingrui98import chisel3.util._
32cf7d6b7aSMuziimport org.chipsalliance.cde.config.Parameters
33adc0b8dfSGuokai Chenimport scala.{Tuple2 => &}
34cf7d6b7aSMuziimport scala.math.min
35cf7d6b7aSMuziimport utility._
364b2c87baS梁森 Liang Senimport utility.mbist.MbistPipeline
378795ffc0SSam Castleberryimport utility.sram.SRAMConflictBehavior
3811269ca7STang Haojinimport utility.sram.SRAMTemplate
39cf7d6b7aSMuziimport xiangshan._
4009c6f1ddSLingrui98
41cf7d6b7aSMuzitrait HasSCParameter extends TageParams {}
4209c6f1ddSLingrui98
4309c6f1ddSLingrui98class SCReq(implicit p: Parameters) extends TageReq
4409c6f1ddSLingrui98
4509c6f1ddSLingrui98abstract class SCBundle(implicit p: Parameters) extends TageBundle with HasSCParameter {}
4609c6f1ddSLingrui98abstract class SCModule(implicit p: Parameters) extends TageModule with HasSCParameter {}
4709c6f1ddSLingrui98
4834ed6fbcSLingrui98class SCMeta(val ntables: Int)(implicit p: Parameters) extends XSBundle with HasSCParameter {
4934ed6fbcSLingrui98  val scPreds = Vec(numBr, Bool())
5009c6f1ddSLingrui98  // Suppose ctrbits of all tables are identical
5134ed6fbcSLingrui98  val ctrs = Vec(numBr, Vec(ntables, SInt(SCCtrBits.W)))
5209c6f1ddSLingrui98}
5309c6f1ddSLingrui98
5409c6f1ddSLingrui98class SCResp(val ctrBits: Int = 6)(implicit p: Parameters) extends SCBundle {
5534ed6fbcSLingrui98  val ctrs = Vec(numBr, Vec(2, SInt(ctrBits.W)))
5609c6f1ddSLingrui98}
5709c6f1ddSLingrui98
5809c6f1ddSLingrui98class SCUpdate(val ctrBits: Int = 6)(implicit p: Parameters) extends SCBundle {
5909c6f1ddSLingrui98  val pc        = UInt(VAddrBits.W)
60a72b131fSGao-Zeyu  val ghist     = UInt(HistoryLength.W)
6134ed6fbcSLingrui98  val mask      = Vec(numBr, Bool())
6234ed6fbcSLingrui98  val oldCtrs   = Vec(numBr, SInt(ctrBits.W))
6334ed6fbcSLingrui98  val tagePreds = Vec(numBr, Bool())
6434ed6fbcSLingrui98  val takens    = Vec(numBr, Bool())
6509c6f1ddSLingrui98}
6609c6f1ddSLingrui98
6709c6f1ddSLingrui98class SCTableIO(val ctrBits: Int = 6)(implicit p: Parameters) extends SCBundle {
6809c6f1ddSLingrui98  val req    = Input(Valid(new SCReq))
6909c6f1ddSLingrui98  val resp   = Output(new SCResp(ctrBits))
7009c6f1ddSLingrui98  val update = Input(new SCUpdate(ctrBits))
7109c6f1ddSLingrui98}
7209c6f1ddSLingrui98
7309c6f1ddSLingrui98class SCTable(val nRows: Int, val ctrBits: Int, val histLen: Int)(implicit p: Parameters)
7409c6f1ddSLingrui98    extends SCModule with HasFoldedHistory {
7509c6f1ddSLingrui98  val io = IO(new SCTableIO(ctrBits))
7609c6f1ddSLingrui98
7709c6f1ddSLingrui98  // val table = Module(new SRAMTemplate(SInt(ctrBits.W), set=nRows, way=2*TageBanks, shouldReset=true, holdRead=true, singlePort=false))
78cf7d6b7aSMuzi  val table = Module(new SRAMTemplate(
79cf7d6b7aSMuzi    SInt(ctrBits.W),
80cf7d6b7aSMuzi    set = nRows,
81cf7d6b7aSMuzi    way = 2 * TageBanks,
82cf7d6b7aSMuzi    shouldReset = true,
83cf7d6b7aSMuzi    holdRead = true,
84cf7d6b7aSMuzi    singlePort = false,
858795ffc0SSam Castleberry    conflictBehavior = SRAMConflictBehavior.BufferWriteLossy,
864b2c87baS梁森 Liang Sen    withClockGate = true,
87*30f35717Scz4e    hasMbist = hasMbist,
88*30f35717Scz4e    hasSramCtl = hasSramCtl
89cf7d6b7aSMuzi  ))
904b2c87baS梁森 Liang Sen  private val mbistPl = MbistPipeline.PlaceMbistPipeline(1, "MbistPipeSc", hasMbist)
91dd6c0695SLingrui98  // def getIdx(hist: UInt, pc: UInt) = {
92dd6c0695SLingrui98  //   (compute_folded_ghist(hist, log2Ceil(nRows)) ^ (pc >> instOffsetBits))(log2Ceil(nRows)-1,0)
93dd6c0695SLingrui98  // }
94dd6c0695SLingrui98
95dd6c0695SLingrui98  val idxFhInfo = (histLen, min(log2Ceil(nRows), histLen))
96dd6c0695SLingrui98
97dd6c0695SLingrui98  def getFoldedHistoryInfo = Set(idxFhInfo).filter(_._1 > 0)
98dd6c0695SLingrui98
99cf7d6b7aSMuzi  def getIdx(pc: UInt, allFh: AllFoldedHistories) =
100dd6c0695SLingrui98    if (histLen > 0) {
101dd6c0695SLingrui98      val idx_fh = allFh.getHistWithInfo(idxFhInfo).folded_hist
102dd6c0695SLingrui98      // require(idx_fh.getWidth == log2Ceil(nRows))
103dd6c0695SLingrui98      ((pc >> instOffsetBits) ^ idx_fh)(log2Ceil(nRows) - 1, 0)
104cf7d6b7aSMuzi    } else {
10534ed6fbcSLingrui98      (pc >> instOffsetBits)(log2Ceil(nRows) - 1, 0)
106dd6c0695SLingrui98    }
10781d86739SLingrui98
10809c6f1ddSLingrui98  def ctrUpdate(ctr: SInt, cond: Bool): SInt = signedSatUpdate(ctr, ctrBits, cond)
10909c6f1ddSLingrui98
110dd6c0695SLingrui98  val s0_idx = getIdx(io.req.bits.pc, io.req.bits.folded_hist)
111005e809bSJiuyang Liu  val s1_idx = RegEnable(s0_idx, io.req.valid)
11209c6f1ddSLingrui98
113935edac4STang Haojin  val s1_pc           = RegEnable(io.req.bits.pc, io.req.fire)
11481d86739SLingrui98  val s1_unhashed_idx = s1_pc >> instOffsetBits
11581d86739SLingrui98
11609c6f1ddSLingrui98  table.io.r.req.valid       := io.req.valid
11709c6f1ddSLingrui98  table.io.r.req.bits.setIdx := s0_idx
11809c6f1ddSLingrui98
1198795ffc0SSam Castleberry  val per_br_ctrs_unshuffled = table.io.r.resp.data.sliding(2, 2).toSeq.map(VecInit(_))
1208795ffc0SSam Castleberry  val per_br_ctrs = VecInit((0 until numBr).map(i =>
1218795ffc0SSam Castleberry    Mux1H(
1228795ffc0SSam Castleberry      UIntToOH(get_phy_br_idx(s1_unhashed_idx, i), numBr),
1238795ffc0SSam Castleberry      per_br_ctrs_unshuffled
1248795ffc0SSam Castleberry    )
1258795ffc0SSam Castleberry  ))
1268795ffc0SSam Castleberry
1278795ffc0SSam Castleberry  io.resp.ctrs := per_br_ctrs
1288795ffc0SSam Castleberry
12981d86739SLingrui98  val update_wdata        = Wire(Vec(numBr, SInt(ctrBits.W))) // correspond to physical bridx
13034ed6fbcSLingrui98  val update_wdata_packed = VecInit(update_wdata.map(Seq.fill(2)(_)).reduce(_ ++ _))
13181d86739SLingrui98  val updateWayMask       = Wire(Vec(2 * numBr, Bool()))      // correspond to physical bridx
13234ed6fbcSLingrui98
13381d86739SLingrui98  val update_unhashed_idx = io.update.pc >> instOffsetBits
13481d86739SLingrui98  for (pi <- 0 until numBr) {
13581d86739SLingrui98    updateWayMask(2 * pi) := Seq.tabulate(numBr)(li =>
13681d86739SLingrui98      io.update.mask(li) && get_phy_br_idx(update_unhashed_idx, li) === pi.U && !io.update.tagePreds(li)
13781d86739SLingrui98    ).reduce(_ || _)
13881d86739SLingrui98    updateWayMask(2 * pi + 1) := Seq.tabulate(numBr)(li =>
13981d86739SLingrui98      io.update.mask(li) && get_phy_br_idx(update_unhashed_idx, li) === pi.U && io.update.tagePreds(li)
14081d86739SLingrui98    ).reduce(_ || _)
14134ed6fbcSLingrui98  }
14209c6f1ddSLingrui98
143a72b131fSGao-Zeyu  val update_folded_hist = WireInit(0.U.asTypeOf(new AllFoldedHistories(foldedGHistInfos)))
144a72b131fSGao-Zeyu  if (histLen > 0) {
145a72b131fSGao-Zeyu    update_folded_hist.getHistWithInfo(idxFhInfo).folded_hist := compute_folded_ghist(io.update.ghist, log2Ceil(nRows))
146a72b131fSGao-Zeyu  }
147a72b131fSGao-Zeyu  val update_idx = getIdx(io.update.pc, update_folded_hist)
14809c6f1ddSLingrui98
14909c6f1ddSLingrui98  table.io.w.apply(
1508795ffc0SSam Castleberry    valid = io.update.mask.reduce(_ || _),
1518795ffc0SSam Castleberry    data = update_wdata_packed,
1528795ffc0SSam Castleberry    setIdx = update_idx,
1538795ffc0SSam Castleberry    waymask = updateWayMask.asUInt
15409c6f1ddSLingrui98  )
15509c6f1ddSLingrui98
15612cedb6fSLingrui98  val wrBypassEntries = 16
15709c6f1ddSLingrui98
15881d86739SLingrui98  // let it corresponds to logical brIdx
15912cedb6fSLingrui98  val wrbypasses = Seq.fill(numBr)(Module(new WrBypass(SInt(ctrBits.W), wrBypassEntries, log2Ceil(nRows), numWays = 2)))
16009c6f1ddSLingrui98
16181d86739SLingrui98  for (pi <- 0 until numBr) {
16281d86739SLingrui98    val br_lidx = get_lgc_br_idx(update_unhashed_idx, pi.U(log2Ceil(numBr).W))
16312cedb6fSLingrui98
16481d86739SLingrui98    val wrbypass_io = Mux1H(UIntToOH(br_lidx, numBr), wrbypasses.map(_.io))
16581d86739SLingrui98
16681d86739SLingrui98    val ctrPos        = Mux1H(UIntToOH(br_lidx, numBr), io.update.tagePreds)
16781d86739SLingrui98    val bypass_ctr    = wrbypass_io.hit_data(ctrPos)
16881d86739SLingrui98    val previous_ctr  = Mux1H(UIntToOH(br_lidx, numBr), io.update.oldCtrs)
16981d86739SLingrui98    val hit_and_valid = wrbypass_io.hit && bypass_ctr.valid
17081d86739SLingrui98    val oldCtr        = Mux(hit_and_valid, bypass_ctr.bits, previous_ctr)
17181d86739SLingrui98    val taken         = Mux1H(UIntToOH(br_lidx, numBr), io.update.takens)
17281d86739SLingrui98    update_wdata(pi) := ctrUpdate(oldCtr, taken)
17381d86739SLingrui98  }
17481d86739SLingrui98
17581d86739SLingrui98  val per_br_update_wdata_packed = update_wdata_packed.sliding(2, 2).map(VecInit(_)).toSeq
17681d86739SLingrui98  val per_br_update_way_mask     = updateWayMask.sliding(2, 2).map(VecInit(_)).toSeq
17781d86739SLingrui98  for (li <- 0 until numBr) {
17881d86739SLingrui98    val wrbypass = wrbypasses(li)
17981d86739SLingrui98    val br_pidx  = get_phy_br_idx(update_unhashed_idx, li)
18081d86739SLingrui98    wrbypass.io.wen        := io.update.mask(li)
18112cedb6fSLingrui98    wrbypass.io.write_idx  := update_idx
18281d86739SLingrui98    wrbypass.io.write_data := Mux1H(UIntToOH(br_pidx, numBr), per_br_update_wdata_packed)
18381d86739SLingrui98    wrbypass.io.write_way_mask.map(_ := Mux1H(UIntToOH(br_pidx, numBr), per_br_update_way_mask))
18434ed6fbcSLingrui98  }
18509c6f1ddSLingrui98
18609c6f1ddSLingrui98  val u = io.update
187cf7d6b7aSMuzi  XSDebug(
188cf7d6b7aSMuzi    io.req.valid,
18909c6f1ddSLingrui98    p"scTableReq: pc=0x${Hexadecimal(io.req.bits.pc)}, " +
190cf7d6b7aSMuzi      p"s0_idx=${s0_idx}\n"
191cf7d6b7aSMuzi  )
192cf7d6b7aSMuzi  XSDebug(
193cf7d6b7aSMuzi    RegNext(io.req.valid),
19409c6f1ddSLingrui98    p"scTableResp: s1_idx=${s1_idx}," +
195cf7d6b7aSMuzi      p"ctr:${io.resp.ctrs}\n"
196cf7d6b7aSMuzi  )
197cf7d6b7aSMuzi  XSDebug(
198cf7d6b7aSMuzi    io.update.mask.reduce(_ || _),
199e69b7315SLingrui98    p"update Table: pc:${Hexadecimal(u.pc)}, " +
200cf7d6b7aSMuzi      p"tageTakens:${u.tagePreds}, taken:${u.takens}, oldCtr:${u.oldCtrs}\n"
201cf7d6b7aSMuzi  )
20209c6f1ddSLingrui98}
20309c6f1ddSLingrui98
20409c6f1ddSLingrui98class SCThreshold(val ctrBits: Int = 6)(implicit p: Parameters) extends SCBundle {
20509c6f1ddSLingrui98  val ctr = UInt(ctrBits.W)
20609c6f1ddSLingrui98  def satPos(ctr: UInt = this.ctr) = ctr === ((1.U << ctrBits) - 1.U)
20709c6f1ddSLingrui98  def satNeg(ctr: UInt = this.ctr) = ctr === 0.U
20867ba96b4SYinan Xu  def neutralVal = (1 << (ctrBits - 1)).U
20909c6f1ddSLingrui98  val thres      = UInt(8.W)
21009c6f1ddSLingrui98  def initVal    = 6.U
21109c6f1ddSLingrui98  def minThres   = 6.U
21209c6f1ddSLingrui98  def maxThres   = 31.U
21309c6f1ddSLingrui98  def update(cause: Bool): SCThreshold = {
21409c6f1ddSLingrui98    val res    = Wire(new SCThreshold(this.ctrBits))
21509c6f1ddSLingrui98    val newCtr = satUpdate(this.ctr, this.ctrBits, cause)
216cf7d6b7aSMuzi    val newThres = Mux(
217cf7d6b7aSMuzi      res.satPos(newCtr) && this.thres <= maxThres,
218cf7d6b7aSMuzi      this.thres + 2.U,
219cf7d6b7aSMuzi      Mux(res.satNeg(newCtr) && this.thres >= minThres, this.thres - 2.U, this.thres)
220cf7d6b7aSMuzi    )
22109c6f1ddSLingrui98    res.thres := newThres
22209c6f1ddSLingrui98    res.ctr   := Mux(res.satPos(newCtr) || res.satNeg(newCtr), res.neutralVal, newCtr)
22309c6f1ddSLingrui98    // XSDebug(true.B, p"scThres Update: cause${cause} newCtr ${newCtr} newThres ${newThres}\n")
22409c6f1ddSLingrui98    res
22509c6f1ddSLingrui98  }
22609c6f1ddSLingrui98}
22709c6f1ddSLingrui98
22809c6f1ddSLingrui98object SCThreshold {
22909c6f1ddSLingrui98  def apply(bits: Int)(implicit p: Parameters) = {
23009c6f1ddSLingrui98    val t = Wire(new SCThreshold(ctrBits = bits))
23109c6f1ddSLingrui98    t.ctr   := t.neutralVal
23209c6f1ddSLingrui98    t.thres := t.initVal
23309c6f1ddSLingrui98    t
23409c6f1ddSLingrui98  }
23509c6f1ddSLingrui98}
23609c6f1ddSLingrui98
2371ca0e4f3SYinan Xutrait HasSC extends HasSCParameter with HasPerfEvents { this: Tage =>
238efe3f3bbSSteve Gou  val update_on_mispred, update_on_unconf = WireInit(0.U.asTypeOf(Vec(TageBanks, Bool())))
239dd6c0695SLingrui98  var sc_fh_info                          = Set[FoldedHistoryInfo]()
240bf358e08SLingrui98  if (EnableSC) {
24134ed6fbcSLingrui98    val scTables = SCTableInfos.map {
24209c6f1ddSLingrui98      case (nRows, ctrBits, histLen) => {
24309c6f1ddSLingrui98        val t   = Module(new SCTable(nRows / TageBanks, ctrBits, histLen))
24409c6f1ddSLingrui98        val req = t.io.req
245adc0b8dfSGuokai Chen        req.valid            := io.s0_fire(3)
246adc0b8dfSGuokai Chen        req.bits.pc          := s0_pc_dup(3)
247adc0b8dfSGuokai Chen        req.bits.folded_hist := io.in.bits.folded_hist(3)
24886d9c530SLingrui98        req.bits.ghist       := DontCare
24909c6f1ddSLingrui98        if (!EnableSC) { t.io.update := DontCare }
25009c6f1ddSLingrui98        t
25109c6f1ddSLingrui98      }
25209c6f1ddSLingrui98    }
25334ed6fbcSLingrui98    sc_fh_info = scTables.map(_.getFoldedHistoryInfo).reduce(_ ++ _).toSet
25409c6f1ddSLingrui98
25509c6f1ddSLingrui98    val scThresholds  = List.fill(TageBanks)(RegInit(SCThreshold(5)))
25609c6f1ddSLingrui98    val useThresholds = VecInit(scThresholds map (_.thres))
2577e8b966aSLingrui98
258d71e9942SLingrui98    def sign(x: SInt) = x(x.getWidth - 1)
259d71e9942SLingrui98    def pos(x:  SInt) = !sign(x)
260d71e9942SLingrui98    def neg(x:  SInt) = sign(x)
2617e8b966aSLingrui98
2627e8b966aSLingrui98    def aboveThreshold(scSum: SInt, tagePvdr: SInt, threshold: UInt): Bool = {
263d71e9942SLingrui98      val signedThres = threshold.zext
2647e8b966aSLingrui98      val totalSum    = scSum +& tagePvdr
2657e8b966aSLingrui98      (scSum > signedThres - tagePvdr) && pos(totalSum) ||
2667e8b966aSLingrui98      (scSum < -signedThres - tagePvdr) && neg(totalSum)
267d71e9942SLingrui98    }
26809c6f1ddSLingrui98    val updateThresholds = VecInit(useThresholds map (t => (t << 3) +& 21.U))
26909c6f1ddSLingrui98
27034ed6fbcSLingrui98    val s1_scResps = VecInit(scTables.map(t => t.io.resp))
27109c6f1ddSLingrui98
27234ed6fbcSLingrui98    val scUpdateMask      = WireInit(0.U.asTypeOf(Vec(numBr, Vec(SCNTables, Bool()))))
27309c6f1ddSLingrui98    val scUpdateTagePreds = Wire(Vec(TageBanks, Bool()))
27409c6f1ddSLingrui98    val scUpdateTakens    = Wire(Vec(TageBanks, Bool()))
27534ed6fbcSLingrui98    val scUpdateOldCtrs   = Wire(Vec(numBr, Vec(SCNTables, SInt(SCCtrBits.W))))
27609c6f1ddSLingrui98    scUpdateTagePreds := DontCare
27709c6f1ddSLingrui98    scUpdateTakens    := DontCare
27809c6f1ddSLingrui98    scUpdateOldCtrs   := DontCare
27909c6f1ddSLingrui98
28034ed6fbcSLingrui98    val updateSCMeta = updateMeta.scMeta.get
28109c6f1ddSLingrui98
28209c6f1ddSLingrui98    val s2_sc_used, s2_conf, s2_unconf, s2_agree, s2_disagree =
283ff1cd593SLingrui98      WireInit(0.U.asTypeOf(Vec(TageBanks, Bool())))
28409c6f1ddSLingrui98    val update_sc_used, update_conf, update_unconf, update_agree, update_disagree =
285ff1cd593SLingrui98      WireInit(0.U.asTypeOf(Vec(TageBanks, Bool())))
286efe3f3bbSSteve Gou    val sc_misp_tage_corr, sc_corr_tage_misp =
287ff1cd593SLingrui98      WireInit(0.U.asTypeOf(Vec(TageBanks, Bool())))
28809c6f1ddSLingrui98
28909c6f1ddSLingrui98    // for sc ctrs
290238c84b9SLingrui98    def getCentered(ctr: SInt): SInt = Cat(ctr, 1.U(1.W)).asSInt
291238c84b9SLingrui98    // for tage ctrs, (2*(ctr-4)+1)*8
292238c84b9SLingrui98    def getPvdrCentered(ctr: UInt): SInt = Cat(ctr ^ (1 << (TageCtrBits - 1)).U, 1.U(1.W), 0.U(3.W)).asSInt
29309c6f1ddSLingrui98
29434ed6fbcSLingrui98    val scMeta = resp_meta.scMeta.get
29509c6f1ddSLingrui98    scMeta := DontCare
29634ed6fbcSLingrui98    for (w <- 0 until TageBanks) {
29709c6f1ddSLingrui98      // do summation in s2
29809c6f1ddSLingrui98      val s1_scTableSums = VecInit(
29909c6f1ddSLingrui98        (0 to 1) map { i =>
30034ed6fbcSLingrui98          ParallelSingedExpandingAdd(s1_scResps map (r => getCentered(r.ctrs(w)(i)))) // TODO: rewrite with wallace tree
30109c6f1ddSLingrui98        }
30209c6f1ddSLingrui98      )
303adc0b8dfSGuokai Chen      val s2_scTableSums         = RegEnable(s1_scTableSums, io.s1_fire(3))
304adc0b8dfSGuokai Chen      val s2_tagePrvdCtrCentered = getPvdrCentered(RegEnable(s1_providerResps(w).ctr, io.s1_fire(3)))
305cb4f77ceSLingrui98      val s2_totalSums           = s2_scTableSums.map(_ +& s2_tagePrvdCtrCentered)
306cf7d6b7aSMuzi      val s2_sumAboveThresholds =
307cf7d6b7aSMuzi        VecInit((0 to 1).map(i => aboveThreshold(s2_scTableSums(i), s2_tagePrvdCtrCentered, useThresholds(w))))
308cb4f77ceSLingrui98      val s2_scPreds = VecInit(s2_totalSums.map(_ >= 0.S))
30909c6f1ddSLingrui98
310adc0b8dfSGuokai Chen      val s2_scResps   = VecInit(RegEnable(s1_scResps, io.s1_fire(3)).map(_.ctrs(w)))
311adc0b8dfSGuokai Chen      val s2_scCtrs    = VecInit(s2_scResps.map(_(s2_tageTakens_dup(3)(w).asUInt)))
312adc0b8dfSGuokai Chen      val s2_chooseBit = s2_tageTakens_dup(3)(w)
31309c6f1ddSLingrui98
314cb4f77ceSLingrui98      val s2_pred =
315cf7d6b7aSMuzi        Mux(s2_provideds(w) && s2_sumAboveThresholds(s2_chooseBit), s2_scPreds(s2_chooseBit), s2_tageTakens_dup(3)(w))
316cb4f77ceSLingrui98
317adc0b8dfSGuokai Chen      val s3_disagree = RegEnable(s2_disagree, io.s2_fire(3))
318abdc3a32Sxu_zh      io.out.last_stage_spec_info.sc_disagree.map(_ := s3_disagree)
319d2b20d1aSTang Haojin
320adc0b8dfSGuokai Chen      scMeta.scPreds(w) := RegEnable(s2_scPreds(s2_chooseBit), io.s2_fire(3))
321adc0b8dfSGuokai Chen      scMeta.ctrs(w)    := RegEnable(s2_scCtrs, io.s2_fire(3))
32234ed6fbcSLingrui98
3238b33cd30Sklin02      val pred     = s2_scPreds(s2_chooseBit)
3248b33cd30Sklin02      val debug_pc = Cat(debug_pc_s2, w.U, 0.U(instOffsetBits.W))
3254813e060SLingrui98      when(s2_provideds(w)) {
32609c6f1ddSLingrui98        s2_sc_used(w) := true.B
327b30c10d6SLingrui98        s2_unconf(w)  := !s2_sumAboveThresholds(s2_chooseBit)
328b30c10d6SLingrui98        s2_conf(w)    := s2_sumAboveThresholds(s2_chooseBit)
32909c6f1ddSLingrui98        // Use prediction from Statistical Corrector
330b30c10d6SLingrui98        when(s2_sumAboveThresholds(s2_chooseBit)) {
331adc0b8dfSGuokai Chen          s2_agree(w)    := s2_tageTakens_dup(3)(w) === pred
332adc0b8dfSGuokai Chen          s2_disagree(w) := s2_tageTakens_dup(3)(w) =/= pred
33309c6f1ddSLingrui98          // fit to always-taken condition
334c2d1ec7dSLingrui98          // io.out.s2.full_pred.br_taken_mask(w) := pred
33509c6f1ddSLingrui98        }
33609c6f1ddSLingrui98      }
3378b33cd30Sklin02      XSDebug(s2_provideds(w), p"---------tage_bank_${w} provided so that sc used---------\n")
3388b33cd30Sklin02      XSDebug(
3398b33cd30Sklin02        s2_provideds(w) && s2_sumAboveThresholds(s2_chooseBit),
3408b33cd30Sklin02        p"pc(${Hexadecimal(debug_pc)}) SC(${w.U}) overriden pred to ${pred}\n"
3418b33cd30Sklin02      )
34209c6f1ddSLingrui98
343adc0b8dfSGuokai Chen      val s3_pred_dup   = io.s2_fire.map(f => RegEnable(s2_pred, f))
344adc0b8dfSGuokai Chen      val sc_enable_dup = dup(RegNext(io.ctrl.sc_enable))
345cf7d6b7aSMuzi      for (
346cf7d6b7aSMuzi        sc_enable & fp & s3_pred <-
347cf7d6b7aSMuzi          sc_enable_dup zip io.out.s3.full_pred zip s3_pred_dup
348cf7d6b7aSMuzi      ) {
349adc0b8dfSGuokai Chen        when(sc_enable) {
350adc0b8dfSGuokai Chen          fp.br_taken_mask(w) := s3_pred
351adc0b8dfSGuokai Chen        }
3526ee06c7aSSteve Gou      }
353b30c10d6SLingrui98
35434ed6fbcSLingrui98      val updateTageMeta    = updateMeta
35534ed6fbcSLingrui98      val scPred            = updateSCMeta.scPreds(w)
356deb3a97eSGao-Zeyu      val tagePred          = updateTageMeta.takens(w)
357803124a6SLingrui98      val taken             = update.br_taken_mask(w)
35834ed6fbcSLingrui98      val scOldCtrs         = updateSCMeta.ctrs(w)
3594813e060SLingrui98      val pvdrCtr           = updateTageMeta.providerResps(w).ctr
360ffa09ba7SEaston Man      val tableSum          = ParallelSingedExpandingAdd(scOldCtrs.map(getCentered))
361ffa09ba7SEaston Man      val totalSumAbs       = (tableSum +& getPvdrCentered(pvdrCtr)).abs.asUInt
362ff1cd593SLingrui98      val updateThres       = updateThresholds(w)
363ffa09ba7SEaston Man      val sumAboveThreshold = aboveThreshold(tableSum, getPvdrCentered(pvdrCtr), updateThres)
3648b33cd30Sklin02      val thres             = useThresholds(w)
3658b33cd30Sklin02      val newThres          = scThresholds(w).update(scPred =/= taken)
3668b33cd30Sklin02      when(updateValids(w) && updateTageMeta.providers(w).valid) {
36709c6f1ddSLingrui98        scUpdateTagePreds(w) := tagePred
36809c6f1ddSLingrui98        scUpdateTakens(w)    := taken
36909c6f1ddSLingrui98        (scUpdateOldCtrs(w) zip scOldCtrs).foreach { case (t, c) => t := c }
37009c6f1ddSLingrui98
37109c6f1ddSLingrui98        update_sc_used(w)    := true.B
372b30c10d6SLingrui98        update_unconf(w)     := !sumAboveThreshold
373b30c10d6SLingrui98        update_conf(w)       := sumAboveThreshold
37409c6f1ddSLingrui98        update_agree(w)      := scPred === tagePred
37509c6f1ddSLingrui98        update_disagree(w)   := scPred =/= tagePred
37609c6f1ddSLingrui98        sc_corr_tage_misp(w) := scPred === taken && tagePred =/= taken && update_conf(w)
37709c6f1ddSLingrui98        sc_misp_tage_corr(w) := scPred =/= taken && tagePred === taken && update_conf(w)
37809c6f1ddSLingrui98
379ffa09ba7SEaston Man        when(scPred =/= tagePred && totalSumAbs >= thres - 4.U && totalSumAbs <= thres - 2.U) {
38009c6f1ddSLingrui98          scThresholds(w) := newThres
38109c6f1ddSLingrui98        }
38209c6f1ddSLingrui98
383b30c10d6SLingrui98        when(scPred =/= taken || !sumAboveThreshold) {
38409c6f1ddSLingrui98          scUpdateMask(w).foreach(_ := true.B)
3858b33cd30Sklin02          update_on_mispred(w) := scPred =/= taken
3868b33cd30Sklin02          update_on_unconf(w)  := scPred === taken
3878b33cd30Sklin02        }
3888b33cd30Sklin02      }
389cf7d6b7aSMuzi      XSDebug(
3908b33cd30Sklin02        updateValids(w) && updateTageMeta.providers(w).valid &&
3918b33cd30Sklin02          scPred =/= tagePred && totalSumAbs >= thres - 4.U && totalSumAbs <= thres - 2.U,
3928b33cd30Sklin02        p"scThres $w update: old ${useThresholds(w)} --> new ${newThres.thres}\n"
3938b33cd30Sklin02      )
3948b33cd30Sklin02      XSDebug(
3958b33cd30Sklin02        updateValids(w) && updateTageMeta.providers(w).valid &&
3968b33cd30Sklin02          (scPred =/= taken || !sumAboveThreshold) &&
397cf7d6b7aSMuzi          tableSum < 0.S,
39809c6f1ddSLingrui98        p"scUpdate: bank(${w}), scPred(${scPred}), tagePred(${tagePred}), " +
399ffa09ba7SEaston Man          p"scSum(-${tableSum.abs}), mispred: sc(${scPred =/= taken}), tage(${updateMisPreds(w)})\n"
40009c6f1ddSLingrui98      )
401cf7d6b7aSMuzi      XSDebug(
4028b33cd30Sklin02        updateValids(w) && updateTageMeta.providers(w).valid &&
4038b33cd30Sklin02          (scPred =/= taken || !sumAboveThreshold) &&
404cf7d6b7aSMuzi          tableSum >= 0.S,
40509c6f1ddSLingrui98        p"scUpdate: bank(${w}), scPred(${scPred}), tagePred(${tagePred}), " +
406ffa09ba7SEaston Man          p"scSum(+${tableSum.abs}), mispred: sc(${scPred =/= taken}), tage(${updateMisPreds(w)})\n"
40709c6f1ddSLingrui98      )
4088b33cd30Sklin02      XSDebug(
4098b33cd30Sklin02        updateValids(w) && updateTageMeta.providers(w).valid &&
4108b33cd30Sklin02          (scPred =/= taken || !sumAboveThreshold),
4118b33cd30Sklin02        p"bank(${w}), update: sc: ${updateSCMeta}\n"
4128b33cd30Sklin02      )
41309c6f1ddSLingrui98    }
41409c6f1ddSLingrui98
4157af6acb0SEaston Man    val realWens = scUpdateMask.transpose.map(v => v.reduce(_ | _))
41609c6f1ddSLingrui98    for (b <- 0 until TageBanks) {
41734ed6fbcSLingrui98      for (i <- 0 until SCNTables) {
4187af6acb0SEaston Man        val realWen = realWens(i)
41934ed6fbcSLingrui98        scTables(i).io.update.mask(b)      := RegNext(scUpdateMask(b)(i))
4207af6acb0SEaston Man        scTables(i).io.update.tagePreds(b) := RegEnable(scUpdateTagePreds(b), realWen)
4217af6acb0SEaston Man        scTables(i).io.update.takens(b)    := RegEnable(scUpdateTakens(b), realWen)
4227af6acb0SEaston Man        scTables(i).io.update.oldCtrs(b)   := RegEnable(scUpdateOldCtrs(b)(i), realWen)
42303426fe2Spengxiao        scTables(i).io.update.pc           := RegEnable(update_pc, realWen)
42403426fe2Spengxiao        scTables(i).io.update.ghist        := RegEnable(update.ghist, realWen)
42509c6f1ddSLingrui98      }
42609c6f1ddSLingrui98    }
42709c6f1ddSLingrui98
42809c6f1ddSLingrui98    tage_perf("sc_conf", PopCount(s2_conf), PopCount(update_conf))
42909c6f1ddSLingrui98    tage_perf("sc_unconf", PopCount(s2_unconf), PopCount(update_unconf))
43009c6f1ddSLingrui98    tage_perf("sc_agree", PopCount(s2_agree), PopCount(update_agree))
43109c6f1ddSLingrui98    tage_perf("sc_disagree", PopCount(s2_disagree), PopCount(update_disagree))
43209c6f1ddSLingrui98    tage_perf("sc_used", PopCount(s2_sc_used), PopCount(update_sc_used))
43309c6f1ddSLingrui98    XSPerfAccumulate("sc_update_on_mispred", PopCount(update_on_mispred))
43409c6f1ddSLingrui98    XSPerfAccumulate("sc_update_on_unconf", PopCount(update_on_unconf))
43509c6f1ddSLingrui98    XSPerfAccumulate("sc_mispred_but_tage_correct", PopCount(sc_misp_tage_corr))
43609c6f1ddSLingrui98    XSPerfAccumulate("sc_correct_and_tage_wrong", PopCount(sc_corr_tage_misp))
437cd365d4cSrvcoresjw
438efe3f3bbSSteve Gou  }
439efe3f3bbSSteve Gou
440dd6c0695SLingrui98  override def getFoldedHistoryInfo = Some(tage_fh_info ++ sc_fh_info)
441dd6c0695SLingrui98
4424813e060SLingrui98  override val perfEvents = Seq(
4434813e060SLingrui98    ("tage_tht_hit                  ", PopCount(updateMeta.providers.map(_.valid))),
444cd365d4cSrvcoresjw    ("sc_update_on_mispred          ", PopCount(update_on_mispred)),
445cf7d6b7aSMuzi    ("sc_update_on_unconf           ", PopCount(update_on_unconf))
446cd365d4cSrvcoresjw  )
4471ca0e4f3SYinan Xu  generatePerfEvent()
448bf358e08SLingrui98}
449