xref: /XiangShan/src/main/scala/xiangshan/frontend/IFU.scala (revision a38d1eab87777ed93b417106a7dfd58a062cee18)
1/***************************************************************************************
2* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
4* Copyright (c) 2020-2021 Peng Cheng Laboratory
5*
6* XiangShan is licensed under Mulan PSL v2.
7* You can use this software according to the terms and conditions of the Mulan PSL v2.
8* You may obtain a copy of Mulan PSL v2 at:
9*          http://license.coscl.org.cn/MulanPSL2
10*
11* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
12* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
13* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
14*
15* See the Mulan PSL v2 for more details.
16***************************************************************************************/
17
18package xiangshan.frontend
19
20import org.chipsalliance.cde.config.Parameters
21import chisel3._
22import chisel3.util._
23import freechips.rocketchip.rocket.RVCDecoder
24import xiangshan._
25import xiangshan.cache.mmu._
26import xiangshan.frontend.icache._
27import utils._
28import utility._
29import xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
30import xiangshan.backend.GPAMemEntry
31import utility.ChiselDB
32
33trait HasInstrMMIOConst extends HasXSParameter with HasIFUConst{
34  def mmioBusWidth = 64
35  def mmioBusBytes = mmioBusWidth / 8
36  def maxInstrLen = 32
37}
38
39trait HasIFUConst extends HasXSParameter{
40  def addrAlign(addr: UInt, bytes: Int, highest: Int): UInt = Cat(addr(highest-1, log2Ceil(bytes)), 0.U(log2Ceil(bytes).W))
41  def fetchQueueSize = 2
42
43  def getBasicBlockIdx( pc: UInt, start:  UInt ): UInt = {
44    val byteOffset = pc - start
45    (byteOffset - instBytes.U)(log2Ceil(PredictWidth),instOffsetBits)
46  }
47}
48
49class IfuToFtqIO(implicit p:Parameters) extends XSBundle {
50  val pdWb = Valid(new PredecodeWritebackBundle)
51}
52
53class IfuToBackendIO(implicit p:Parameters) extends XSBundle {
54  // write to backend gpaddr mem
55  val gpaddrMem_wen = Output(Bool())
56  val gpaddrMem_waddr = Output(UInt(log2Ceil(FtqSize).W)) // Ftq Ptr
57  // 2 gpaddrs, correspond to startAddr & nextLineAddr in bundle FtqICacheInfo
58  // TODO: avoid cross page entry in Ftq
59  val gpaddrMem_wdata = Output(new GPAMemEntry)
60}
61
62class FtqInterface(implicit p: Parameters) extends XSBundle {
63  val fromFtq = Flipped(new FtqToIfuIO)
64  val toFtq   = new IfuToFtqIO
65}
66
67class UncacheInterface(implicit p: Parameters) extends XSBundle {
68  val fromUncache = Flipped(DecoupledIO(new InsUncacheResp))
69  val toUncache   = DecoupledIO( new InsUncacheReq )
70}
71
72class NewIFUIO(implicit p: Parameters) extends XSBundle {
73  val ftqInter         = new FtqInterface
74  val icacheInter      = Flipped(new IFUICacheIO)
75  val icacheStop       = Output(Bool())
76  val icachePerfInfo   = Input(new ICachePerfInfo)
77  val toIbuffer        = Decoupled(new FetchToIBuffer)
78  val toBackend        = new IfuToBackendIO
79  val uncacheInter     = new UncacheInterface
80  val frontendTrigger  = Flipped(new FrontendTdataDistributeIO)
81  val rob_commits      = Flipped(Vec(CommitWidth, Valid(new RobCommitInfo)))
82  val iTLBInter        = new TlbRequestIO
83  val pmp              = new ICachePMPBundle
84  val mmioCommitRead   = new mmioCommitRead
85}
86
87// record the situation in which fallThruAddr falls into
88// the middle of an RVI inst
89class LastHalfInfo(implicit p: Parameters) extends XSBundle {
90  val valid = Bool()
91  val middlePC = UInt(VAddrBits.W)
92  def matchThisBlock(startAddr: UInt) = valid && middlePC === startAddr
93}
94
95class IfuToPreDecode(implicit p: Parameters) extends XSBundle {
96  val data                =  if(HasCExtension) Vec(PredictWidth + 1, UInt(16.W)) else Vec(PredictWidth, UInt(32.W))
97  val frontendTrigger     = new FrontendTdataDistributeIO
98  val pc                  = Vec(PredictWidth, UInt(VAddrBits.W))
99}
100
101
102class IfuToPredChecker(implicit p: Parameters) extends XSBundle {
103  val ftqOffset     = Valid(UInt(log2Ceil(PredictWidth).W))
104  val jumpOffset    = Vec(PredictWidth, UInt(XLEN.W))
105  val target        = UInt(VAddrBits.W)
106  val instrRange    = Vec(PredictWidth, Bool())
107  val instrValid    = Vec(PredictWidth, Bool())
108  val pds           = Vec(PredictWidth, new PreDecodeInfo)
109  val pc            = Vec(PredictWidth, UInt(VAddrBits.W))
110  val fire_in       = Bool()
111}
112
113class FetchToIBufferDB extends Bundle {
114  val start_addr = UInt(39.W)
115  val instr_count = UInt(32.W)
116  val exception = Bool()
117  val is_cache_hit = Bool()
118}
119
120class IfuWbToFtqDB extends Bundle {
121  val start_addr = UInt(39.W)
122  val is_miss_pred = Bool()
123  val miss_pred_offset = UInt(32.W)
124  val checkJalFault = Bool()
125  val checkRetFault = Bool()
126  val checkTargetFault = Bool()
127  val checkNotCFIFault = Bool()
128  val checkInvalidTaken = Bool()
129}
130
131class NewIFU(implicit p: Parameters) extends XSModule
132  with HasICacheParameters
133  with HasXSParameter
134  with HasIFUConst
135  with HasPdConst
136  with HasCircularQueuePtrHelper
137  with HasPerfEvents
138  with HasTlbConst
139{
140  val io = IO(new NewIFUIO)
141  val (toFtq, fromFtq)    = (io.ftqInter.toFtq, io.ftqInter.fromFtq)
142  val fromICache = io.icacheInter.resp
143  val (toUncache, fromUncache) = (io.uncacheInter.toUncache , io.uncacheInter.fromUncache)
144
145  def isCrossLineReq(start: UInt, end: UInt): Bool = start(blockOffBits) ^ end(blockOffBits)
146
147  def numOfStage = 3
148  // equal lower_result overflow bit
149  def PcCutPoint = (VAddrBits/4) - 1
150  def CatPC(low: UInt, high: UInt, high1: UInt): UInt = {
151    Mux(
152      low(PcCutPoint),
153      Cat(high1, low(PcCutPoint-1, 0)),
154      Cat(high, low(PcCutPoint-1, 0))
155    )
156  }
157  def CatPC(lowVec: Vec[UInt], high: UInt, high1: UInt): Vec[UInt] = VecInit(lowVec.map(CatPC(_, high, high1)))
158  require(numOfStage > 1, "BPU numOfStage must be greater than 1")
159  val topdown_stages = RegInit(VecInit(Seq.fill(numOfStage)(0.U.asTypeOf(new FrontendTopDownBundle))))
160  // bubble events in IFU, only happen in stage 1
161  val icacheMissBubble = Wire(Bool())
162  val itlbMissBubble =Wire(Bool())
163
164  // only driven by clock, not valid-ready
165  topdown_stages(0) := fromFtq.req.bits.topdown_info
166  for (i <- 1 until numOfStage) {
167    topdown_stages(i) := topdown_stages(i - 1)
168  }
169  when (icacheMissBubble) {
170    topdown_stages(1).reasons(TopDownCounters.ICacheMissBubble.id) := true.B
171  }
172  when (itlbMissBubble) {
173    topdown_stages(1).reasons(TopDownCounters.ITLBMissBubble.id) := true.B
174  }
175  io.toIbuffer.bits.topdown_info := topdown_stages(numOfStage - 1)
176  when (fromFtq.topdown_redirect.valid) {
177    // only redirect from backend, IFU redirect itself is handled elsewhere
178    when (fromFtq.topdown_redirect.bits.debugIsCtrl) {
179      /*
180      for (i <- 0 until numOfStage) {
181        topdown_stages(i).reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
182      }
183      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
184      */
185      when (fromFtq.topdown_redirect.bits.ControlBTBMissBubble) {
186        for (i <- 0 until numOfStage) {
187          topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
188        }
189        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
190      } .elsewhen (fromFtq.topdown_redirect.bits.TAGEMissBubble) {
191        for (i <- 0 until numOfStage) {
192          topdown_stages(i).reasons(TopDownCounters.TAGEMissBubble.id) := true.B
193        }
194        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
195      } .elsewhen (fromFtq.topdown_redirect.bits.SCMissBubble) {
196        for (i <- 0 until numOfStage) {
197          topdown_stages(i).reasons(TopDownCounters.SCMissBubble.id) := true.B
198        }
199        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.SCMissBubble.id) := true.B
200      } .elsewhen (fromFtq.topdown_redirect.bits.ITTAGEMissBubble) {
201        for (i <- 0 until numOfStage) {
202          topdown_stages(i).reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
203        }
204        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
205      } .elsewhen (fromFtq.topdown_redirect.bits.RASMissBubble) {
206        for (i <- 0 until numOfStage) {
207          topdown_stages(i).reasons(TopDownCounters.RASMissBubble.id) := true.B
208        }
209        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.RASMissBubble.id) := true.B
210      }
211    } .elsewhen (fromFtq.topdown_redirect.bits.debugIsMemVio) {
212      for (i <- 0 until numOfStage) {
213        topdown_stages(i).reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
214      }
215      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
216    } .otherwise {
217      for (i <- 0 until numOfStage) {
218        topdown_stages(i).reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
219      }
220      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
221    }
222  }
223
224  class TlbExept(implicit p: Parameters) extends XSBundle{
225    val pageFault = Bool()
226    val accessFault = Bool()
227    val mmio = Bool()
228  }
229
230  val preDecoder       = Module(new PreDecode)
231
232  val predChecker     = Module(new PredChecker)
233  val frontendTrigger = Module(new FrontendTrigger)
234  val (checkerIn, checkerOutStage1, checkerOutStage2)         = (predChecker.io.in, predChecker.io.out.stage1Out,predChecker.io.out.stage2Out)
235
236  /**
237    ******************************************************************************
238    * IFU Stage 0
239    * - send cacheline fetch request to ICacheMainPipe
240    ******************************************************************************
241    */
242
243  val f0_valid                             = fromFtq.req.valid
244  val f0_ftq_req                           = fromFtq.req.bits
245  val f0_doubleLine                        = fromFtq.req.bits.crossCacheline
246  val f0_vSetIdx                           = VecInit(get_idx((f0_ftq_req.startAddr)), get_idx(f0_ftq_req.nextlineStart))
247  val f0_fire                              = fromFtq.req.fire
248
249  val f0_flush, f1_flush, f2_flush, f3_flush = WireInit(false.B)
250  val from_bpu_f0_flush, from_bpu_f1_flush, from_bpu_f2_flush, from_bpu_f3_flush = WireInit(false.B)
251
252  from_bpu_f0_flush := fromFtq.flushFromBpu.shouldFlushByStage2(f0_ftq_req.ftqIdx) ||
253                       fromFtq.flushFromBpu.shouldFlushByStage3(f0_ftq_req.ftqIdx)
254
255  val wb_redirect , mmio_redirect,  backend_redirect= WireInit(false.B)
256  val f3_wb_not_flush = WireInit(false.B)
257
258  backend_redirect := fromFtq.redirect.valid
259  f3_flush := backend_redirect || (wb_redirect && !f3_wb_not_flush)
260  f2_flush := backend_redirect || mmio_redirect || wb_redirect
261  f1_flush := f2_flush || from_bpu_f1_flush
262  f0_flush := f1_flush || from_bpu_f0_flush
263
264  val f1_ready, f2_ready, f3_ready         = WireInit(false.B)
265
266  fromFtq.req.ready := f1_ready && io.icacheInter.icacheReady
267
268
269  when (wb_redirect) {
270    when (f3_wb_not_flush) {
271      topdown_stages(2).reasons(TopDownCounters.BTBMissBubble.id) := true.B
272    }
273    for (i <- 0 until numOfStage - 1) {
274      topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
275    }
276  }
277
278  /** <PERF> f0 fetch bubble */
279
280  XSPerfAccumulate("fetch_bubble_ftq_not_valid",   !fromFtq.req.valid && fromFtq.req.ready  )
281  // XSPerfAccumulate("fetch_bubble_pipe_stall",    f0_valid && toICache(0).ready && toICache(1).ready && !f1_ready )
282  // XSPerfAccumulate("fetch_bubble_icache_0_busy",   f0_valid && !toICache(0).ready  )
283  // XSPerfAccumulate("fetch_bubble_icache_1_busy",   f0_valid && !toICache(1).ready  )
284  XSPerfAccumulate("fetch_flush_backend_redirect",   backend_redirect  )
285  XSPerfAccumulate("fetch_flush_wb_redirect",    wb_redirect  )
286  XSPerfAccumulate("fetch_flush_bpu_f1_flush",   from_bpu_f1_flush  )
287  XSPerfAccumulate("fetch_flush_bpu_f0_flush",   from_bpu_f0_flush  )
288
289
290  /**
291    ******************************************************************************
292    * IFU Stage 1
293    * - calculate pc/half_pc/cut_ptr for every instruction
294    ******************************************************************************
295    */
296
297  val f1_valid      = RegInit(false.B)
298  val f1_ftq_req    = RegEnable(f0_ftq_req,    f0_fire)
299  // val f1_situation  = RegEnable(f0_situation,  f0_fire)
300  val f1_doubleLine = RegEnable(f0_doubleLine, f0_fire)
301  val f1_vSetIdx    = RegEnable(f0_vSetIdx,    f0_fire)
302  val f1_fire       = f1_valid && f2_ready
303
304  f1_ready := f1_fire || !f1_valid
305
306  from_bpu_f1_flush := fromFtq.flushFromBpu.shouldFlushByStage3(f1_ftq_req.ftqIdx) && f1_valid
307  // from_bpu_f1_flush := false.B
308
309  when(f1_flush)                  {f1_valid  := false.B}
310  .elsewhen(f0_fire && !f0_flush) {f1_valid  := true.B}
311  .elsewhen(f1_fire)              {f1_valid  := false.B}
312
313  val f1_pc_high            = f1_ftq_req.startAddr(VAddrBits-1, PcCutPoint)
314  val f1_pc_high_plus1      = f1_pc_high + 1.U
315
316  /**
317   * In order to reduce power consumption, avoid calculating the full PC value in the first level.
318   * code of original logic, this code has been deprecated
319   * val f1_pc                 = VecInit(f1_pc_lower_result.map{ i =>
320   *  Mux(i(f1_pc_adder_cut_point), Cat(f1_pc_high_plus1,i(f1_pc_adder_cut_point-1,0)), Cat(f1_pc_high,i(f1_pc_adder_cut_point-1,0)))})
321   */
322  val f1_pc_lower_result    = VecInit((0 until PredictWidth).map(i => Cat(0.U(1.W), f1_ftq_req.startAddr(PcCutPoint-1, 0)) + (i * 2).U)) // cat with overflow bit
323
324  val f1_pc                 = CatPC(f1_pc_lower_result, f1_pc_high, f1_pc_high_plus1)
325
326  val f1_half_snpc_lower_result = VecInit((0 until PredictWidth).map(i => Cat(0.U(1.W), f1_ftq_req.startAddr(PcCutPoint-1, 0)) + ((i+2) * 2).U)) // cat with overflow bit
327  val f1_half_snpc            = CatPC(f1_half_snpc_lower_result, f1_pc_high, f1_pc_high_plus1)
328
329  if (env.FPGAPlatform){
330    val f1_pc_diff          = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + (i * 2).U))
331    val f1_half_snpc_diff   = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + ((i+2) * 2).U))
332
333    XSError(f1_pc.zip(f1_pc_diff).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_), "f1_half_snpc adder cut fail")
334    XSError(f1_half_snpc.zip(f1_half_snpc_diff).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_),  "f1_half_snpc adder cut fail")
335  }
336
337  val f1_cut_ptr            = if(HasCExtension)  VecInit((0 until PredictWidth + 1).map(i =>  Cat(0.U(2.W), f1_ftq_req.startAddr(blockOffBits-1, 1)) + i.U ))
338                                  else           VecInit((0 until PredictWidth).map(i =>     Cat(0.U(2.W), f1_ftq_req.startAddr(blockOffBits-1, 2)) + i.U ))
339
340  /**
341    ******************************************************************************
342    * IFU Stage 2
343    * - icache response data (latched for pipeline stop)
344    * - generate exceprion bits for every instruciton (page fault/access fault/mmio)
345    * - generate predicted instruction range (1 means this instruciton is in this fetch packet)
346    * - cut data from cachlines to packet instruction code
347    * - instruction predecode and RVC expand
348    ******************************************************************************
349    */
350
351  val icacheRespAllValid = WireInit(false.B)
352
353  val f2_valid      = RegInit(false.B)
354  val f2_ftq_req    = RegEnable(f1_ftq_req,    f1_fire)
355  // val f2_situation  = RegEnable(f1_situation,  f1_fire)
356  val f2_doubleLine = RegEnable(f1_doubleLine, f1_fire)
357  val f2_vSetIdx    = RegEnable(f1_vSetIdx,    f1_fire)
358  val f2_fire       = f2_valid && f3_ready && icacheRespAllValid
359
360  f2_ready := f2_fire || !f2_valid
361  //TODO: addr compare may be timing critical
362  val f2_icache_all_resp_wire       =  fromICache(0).valid && (fromICache(0).bits.vaddr ===  f2_ftq_req.startAddr) && ((fromICache(1).valid && (fromICache(1).bits.vaddr ===  f2_ftq_req.nextlineStart)) || !f2_doubleLine)
363  val f2_icache_all_resp_reg        = RegInit(false.B)
364
365  icacheRespAllValid := f2_icache_all_resp_reg || f2_icache_all_resp_wire
366
367  icacheMissBubble := io.icacheInter.topdownIcacheMiss
368  itlbMissBubble   := io.icacheInter.topdownItlbMiss
369
370  io.icacheStop := !f3_ready
371
372  when(f2_flush)                                              {f2_icache_all_resp_reg := false.B}
373  .elsewhen(f2_valid && f2_icache_all_resp_wire && !f3_ready) {f2_icache_all_resp_reg := true.B}
374  .elsewhen(f2_fire && f2_icache_all_resp_reg)                {f2_icache_all_resp_reg := false.B}
375
376  when(f2_flush)                  {f2_valid := false.B}
377  .elsewhen(f1_fire && !f1_flush) {f2_valid := true.B }
378  .elsewhen(f2_fire)              {f2_valid := false.B}
379
380  val f2_exception    = VecInit((0 until PortNumber).map(i => fromICache(i).bits.exception))
381  val f2_except_fromBackend = fromICache(0).bits.exceptionFromBackend
382  // paddr and gpaddr of [startAddr, nextLineAddr]
383  val f2_paddrs       = VecInit((0 until PortNumber).map(i => fromICache(i).bits.paddr))
384  val f2_gpaddr       = fromICache(0).bits.gpaddr
385  val f2_isForVSnonLeafPTE      = fromICache(0).bits.isForVSnonLeafPTE
386
387  // FIXME: what if port 0 is not mmio, but port 1 is?
388  // cancel mmio fetch if exception occurs
389  val f2_mmio         = f2_exception(0) === ExceptionType.none && (
390    fromICache(0).bits.pmp_mmio ||
391      // currently, we do not distinguish between Pbmt.nc and Pbmt.io
392      // anyway, they are both non-cacheable, and should be handled with mmio fsm and sent to Uncache module
393      Pbmt.isUncache(fromICache(0).bits.itlb_pbmt)
394  )
395
396
397  /**
398    * reduce the number of registers, origin code
399    * f2_pc = RegEnable(f1_pc, f1_fire)
400    */
401  val f2_pc_lower_result        = RegEnable(f1_pc_lower_result, f1_fire)
402  val f2_pc_high                = RegEnable(f1_pc_high, f1_fire)
403  val f2_pc_high_plus1          = RegEnable(f1_pc_high_plus1, f1_fire)
404  val f2_pc                     = CatPC(f2_pc_lower_result, f2_pc_high, f2_pc_high_plus1)
405
406  val f2_cut_ptr                = RegEnable(f1_cut_ptr, f1_fire)
407  val f2_resend_vaddr           = RegEnable(f1_ftq_req.startAddr + 2.U, f1_fire)
408
409  def isNextLine(pc: UInt, startAddr: UInt) = {
410    startAddr(blockOffBits) ^ pc(blockOffBits)
411  }
412
413  def isLastInLine(pc: UInt) = {
414    pc(blockOffBits - 1, 0) === "b111110".U
415  }
416
417  val f2_foldpc = VecInit(f2_pc.map(i => XORFold(i(VAddrBits-1,1), MemPredPCWidth)))
418  val f2_jump_range = Fill(PredictWidth, !f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~f2_ftq_req.ftqOffset.bits
419  val f2_ftr_range  = Fill(PredictWidth,  f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~getBasicBlockIdx(f2_ftq_req.nextStartAddr, f2_ftq_req.startAddr)
420  val f2_instr_range = f2_jump_range & f2_ftr_range
421  val f2_exception_vec = VecInit((0 until PredictWidth).map( i => MuxCase(ExceptionType.none, Seq(
422      !isNextLine(f2_pc(i), f2_ftq_req.startAddr)                   -> f2_exception(0),
423      (isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine) -> f2_exception(1)
424  ))))
425  val f2_perf_info    = io.icachePerfInfo
426
427  def cut(cacheline: UInt, cutPtr: Vec[UInt]) : Vec[UInt] ={
428    require(HasCExtension)
429    // if(HasCExtension){
430      val result   = Wire(Vec(PredictWidth + 1, UInt(16.W)))
431      val dataVec  = cacheline.asTypeOf(Vec(blockBytes, UInt(16.W))) //32 16-bit data vector
432      (0 until PredictWidth + 1).foreach( i =>
433        result(i) := dataVec(cutPtr(i)) //the max ptr is 3*blockBytes/4-1
434      )
435      result
436    // } else {
437    //   val result   = Wire(Vec(PredictWidth, UInt(32.W)) )
438    //   val dataVec  = cacheline.asTypeOf(Vec(blockBytes * 2/ 4, UInt(32.W)))
439    //   (0 until PredictWidth).foreach( i =>
440    //     result(i) := dataVec(cutPtr(i))
441    //   )
442    //   result
443    // }
444  }
445
446  val f2_cache_response_data = fromICache.map(_.bits.data)
447  val f2_data_2_cacheline = Cat(f2_cache_response_data(0), f2_cache_response_data(0))
448
449  val f2_cut_data   = cut(f2_data_2_cacheline, f2_cut_ptr)
450
451  /** predecode (include RVC expander) */
452  // preDecoderRegIn.data := f2_reg_cut_data
453  // preDecoderRegInIn.frontendTrigger := io.frontendTrigger
454  // preDecoderRegInIn.csrTriggerEnable := io.csrTriggerEnable
455  // preDecoderRegIn.pc  := f2_pc
456
457  val preDecoderIn  = preDecoder.io.in
458  preDecoderIn.valid := f2_valid
459  preDecoderIn.bits.data := f2_cut_data
460  preDecoderIn.bits.frontendTrigger := io.frontendTrigger
461  preDecoderIn.bits.pc  := f2_pc
462  val preDecoderOut = preDecoder.io.out
463
464  //val f2_expd_instr     = preDecoderOut.expInstr
465  val f2_instr          = preDecoderOut.instr
466  val f2_pd             = preDecoderOut.pd
467  val f2_jump_offset    = preDecoderOut.jumpOffset
468  val f2_hasHalfValid   =  preDecoderOut.hasHalfValid
469  /* if there is a cross-page RVI instruction, and the former page has no exception,
470   * whether it has exception is actually depends on the latter page
471   */
472  val f2_crossPage_exception_vec = VecInit((0 until PredictWidth).map { i => Mux(
473    isLastInLine(f2_pc(i)) && !f2_pd(i).isRVC && f2_doubleLine && f2_exception(0) === ExceptionType.none,
474    f2_exception(1),
475    ExceptionType.none
476  )})
477  XSPerfAccumulate("fetch_bubble_icache_not_resp",   f2_valid && !icacheRespAllValid )
478
479
480  /**
481    ******************************************************************************
482    * IFU Stage 3
483    * - handle MMIO instruciton
484    *  -send request to Uncache fetch Unit
485    *  -every packet include 1 MMIO instruction
486    *  -MMIO instructions will stop fetch pipeline until commiting from RoB
487    *  -flush to snpc (send ifu_redirect to Ftq)
488    * - Ibuffer enqueue
489    * - check predict result in Frontend (jalFault/retFault/notCFIFault/invalidTakenFault/targetFault)
490    * - handle last half RVI instruction
491    ******************************************************************************
492    */
493
494  val expanders = Seq.fill(PredictWidth)(Module(new RVCExpander))
495
496  val f3_valid          = RegInit(false.B)
497  val f3_ftq_req        = RegEnable(f2_ftq_req,    f2_fire)
498  // val f3_situation      = RegEnable(f2_situation,  f2_fire)
499  val f3_doubleLine     = RegEnable(f2_doubleLine, f2_fire)
500  val f3_fire           = io.toIbuffer.fire
501
502  val f3_cut_data       = RegEnable(f2_cut_data,   f2_fire)
503
504  val f3_exception      = RegEnable(f2_exception,  f2_fire)
505  val f3_mmio           = RegEnable(f2_mmio,       f2_fire)
506  val f3_except_fromBackend = RegEnable(f2_except_fromBackend, f2_fire)
507
508  val f3_instr          = RegEnable(f2_instr, f2_fire)
509
510  expanders.zipWithIndex.foreach { case (expander, i) =>
511    expander.io.in := f3_instr(i)
512  }
513  // Use expanded instruction only when input is legal.
514  // Otherwise use origin illegal RVC instruction.
515  val f3_expd_instr     = VecInit(expanders.map { expander: RVCExpander =>
516    Mux(expander.io.ill, expander.io.in, expander.io.out.bits)
517  })
518  val f3_ill            = VecInit(expanders.map(_.io.ill))
519
520  val f3_pd_wire         = RegEnable(f2_pd,            f2_fire)
521  val f3_pd              = WireInit(f3_pd_wire)
522  val f3_jump_offset     = RegEnable(f2_jump_offset,   f2_fire)
523  val f3_exception_vec   = RegEnable(f2_exception_vec, f2_fire)
524  val f3_crossPage_exception_vec = RegEnable(f2_crossPage_exception_vec, f2_fire)
525
526  val f3_pc_lower_result = RegEnable(f2_pc_lower_result, f2_fire)
527  val f3_pc_high         = RegEnable(f2_pc_high, f2_fire)
528  val f3_pc_high_plus1   = RegEnable(f2_pc_high_plus1, f2_fire)
529  val f3_pc              = CatPC(f3_pc_lower_result, f3_pc_high, f3_pc_high_plus1)
530
531  val f3_pc_last_lower_result_plus2 = RegEnable(f2_pc_lower_result(PredictWidth - 1) + 2.U, f2_fire)
532  val f3_pc_last_lower_result_plus4 = RegEnable(f2_pc_lower_result(PredictWidth - 1) + 4.U, f2_fire)
533  //val f3_half_snpc      = RegEnable(f2_half_snpc,   f2_fire)
534
535  /**
536    ***********************************************************************
537    * Half snpc(i) is larger than pc(i) by 4. Using pc to calculate half snpc may be a good choice.
538    ***********************************************************************
539    */
540  val f3_half_snpc      = Wire(Vec(PredictWidth,UInt(VAddrBits.W)))
541  for(i <- 0 until PredictWidth){
542    if(i == (PredictWidth - 2)){
543      f3_half_snpc(i)   := CatPC(f3_pc_last_lower_result_plus2, f3_pc_high, f3_pc_high_plus1)
544    } else if (i == (PredictWidth - 1)){
545      f3_half_snpc(i)   := CatPC(f3_pc_last_lower_result_plus4, f3_pc_high, f3_pc_high_plus1)
546    } else {
547      f3_half_snpc(i)   := f3_pc(i+2)
548    }
549  }
550
551  val f3_instr_range    = RegEnable(f2_instr_range, f2_fire)
552  val f3_foldpc         = RegEnable(f2_foldpc,      f2_fire)
553  val f3_hasHalfValid   = RegEnable(f2_hasHalfValid,             f2_fire)
554  val f3_paddrs         = RegEnable(f2_paddrs,  f2_fire)
555  val f3_gpaddr         = RegEnable(f2_gpaddr,  f2_fire)
556  val f3_isForVSnonLeafPTE        = RegEnable(f2_isForVSnonLeafPTE, f2_fire)
557  val f3_resend_vaddr   = RegEnable(f2_resend_vaddr,             f2_fire)
558
559  // Expand 1 bit to prevent overflow when assert
560  val f3_ftq_req_startAddr      = Cat(0.U(1.W), f3_ftq_req.startAddr)
561  val f3_ftq_req_nextStartAddr  = Cat(0.U(1.W), f3_ftq_req.nextStartAddr)
562  // brType, isCall and isRet generation is delayed to f3 stage
563  val f3Predecoder = Module(new F3Predecoder)
564
565  f3Predecoder.io.in.instr := f3_instr
566
567  f3_pd.zipWithIndex.map{ case (pd,i) =>
568    pd.brType := f3Predecoder.io.out.pd(i).brType
569    pd.isCall := f3Predecoder.io.out.pd(i).isCall
570    pd.isRet  := f3Predecoder.io.out.pd(i).isRet
571  }
572
573  val f3PdDiff = f3_pd_wire.zip(f3_pd).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_)
574  XSError(f3_valid && f3PdDiff, "f3 pd diff")
575
576  when(f3_valid && !f3_ftq_req.ftqOffset.valid){
577    assert(f3_ftq_req_startAddr + (2*PredictWidth).U >= f3_ftq_req_nextStartAddr, s"More tha ${2*PredictWidth} Bytes fetch is not allowed!")
578  }
579
580  /*** MMIO State Machine***/
581  val f3_mmio_data          = Reg(Vec(2, UInt(16.W)))
582  val mmio_is_RVC           = RegInit(false.B)
583  val mmio_resend_addr      = RegInit(0.U(PAddrBits.W))
584  val mmio_resend_exception = RegInit(0.U(ExceptionType.width.W))
585  val mmio_resend_gpaddr    = RegInit(0.U(GPAddrBits.W))
586  val mmio_resend_isForVSnonLeafPTE    = RegInit(false.B)
587
588  //last instuction finish
589  val is_first_instr = RegInit(true.B)
590  /*** Determine whether the MMIO instruction is executable based on the previous prediction block ***/
591  io.mmioCommitRead.mmioFtqPtr := RegNext(f3_ftq_req.ftqIdx - 1.U)
592
593  val m_idle :: m_waitLastCmt:: m_sendReq :: m_waitResp :: m_sendTLB :: m_tlbResp :: m_sendPMP :: m_resendReq :: m_waitResendResp :: m_waitCommit :: m_commited :: Nil = Enum(11)
594  val mmio_state = RegInit(m_idle)
595
596  val f3_req_is_mmio     = f3_mmio && f3_valid
597  val mmio_commit = VecInit(io.rob_commits.map{commit => commit.valid && commit.bits.ftqIdx === f3_ftq_req.ftqIdx &&  commit.bits.ftqOffset === 0.U}).asUInt.orR
598  val f3_mmio_req_commit = f3_req_is_mmio && mmio_state === m_commited
599
600  val f3_mmio_to_commit =  f3_req_is_mmio && mmio_state === m_waitCommit
601  val f3_mmio_to_commit_next = RegNext(f3_mmio_to_commit)
602  val f3_mmio_can_go      = f3_mmio_to_commit && !f3_mmio_to_commit_next
603
604  val fromFtqRedirectReg = Wire(fromFtq.redirect.cloneType)
605  fromFtqRedirectReg.bits := RegEnable(fromFtq.redirect.bits, 0.U.asTypeOf(fromFtq.redirect.bits), fromFtq.redirect.valid)
606  fromFtqRedirectReg.valid := RegNext(fromFtq.redirect.valid, init = false.B)
607  val mmioF3Flush           = RegNext(f3_flush,init = false.B)
608  val f3_ftq_flush_self     = fromFtqRedirectReg.valid && RedirectLevel.flushItself(fromFtqRedirectReg.bits.level)
609  val f3_ftq_flush_by_older = fromFtqRedirectReg.valid && isBefore(fromFtqRedirectReg.bits.ftqIdx, f3_ftq_req.ftqIdx)
610
611  val f3_need_not_flush = f3_req_is_mmio && fromFtqRedirectReg.valid && !f3_ftq_flush_self && !f3_ftq_flush_by_older
612
613  /**
614    **********************************************************************************
615    * We want to defer instruction fetching when encountering MMIO instructions to ensure that the MMIO region is not negatively impacted.
616    * This is the exception when the first instruction is an MMIO instruction.
617    **********************************************************************************
618    */
619  when(is_first_instr && f3_fire){
620    is_first_instr := false.B
621  }
622
623  when(f3_flush && !f3_req_is_mmio)                                                 {f3_valid := false.B}
624  .elsewhen(mmioF3Flush && f3_req_is_mmio && !f3_need_not_flush)                    {f3_valid := false.B}
625  .elsewhen(f2_fire && !f2_flush )                                                  {f3_valid := true.B }
626  .elsewhen(io.toIbuffer.fire && !f3_req_is_mmio)                                   {f3_valid := false.B}
627  .elsewhen{f3_req_is_mmio && f3_mmio_req_commit}                                   {f3_valid := false.B}
628
629  val f3_mmio_use_seq_pc = RegInit(false.B)
630
631  val (redirect_ftqIdx, redirect_ftqOffset)  = (fromFtqRedirectReg.bits.ftqIdx,fromFtqRedirectReg.bits.ftqOffset)
632  val redirect_mmio_req = fromFtqRedirectReg.valid && redirect_ftqIdx === f3_ftq_req.ftqIdx && redirect_ftqOffset === 0.U
633
634  when(RegNext(f2_fire && !f2_flush) && f3_req_is_mmio)        { f3_mmio_use_seq_pc := true.B  }
635  .elsewhen(redirect_mmio_req)                                 { f3_mmio_use_seq_pc := false.B }
636
637  f3_ready := (io.toIbuffer.ready && (f3_mmio_req_commit || !f3_req_is_mmio)) || !f3_valid
638
639  // mmio state machine
640  switch(mmio_state){
641    is(m_idle){
642      when(f3_req_is_mmio){
643        mmio_state := m_waitLastCmt
644      }
645    }
646
647    is(m_waitLastCmt){
648      when(is_first_instr){
649        mmio_state := m_sendReq
650      }.otherwise{
651        mmio_state := Mux(io.mmioCommitRead.mmioLastCommit, m_sendReq, m_waitLastCmt)
652      }
653    }
654
655    is(m_sendReq){
656      mmio_state := Mux(toUncache.fire, m_waitResp, m_sendReq)
657    }
658
659    is(m_waitResp){
660      when(fromUncache.fire){
661          val isRVC = fromUncache.bits.data(1,0) =/= 3.U
662          val needResend = !isRVC && f3_paddrs(0)(2,1) === 3.U
663          mmio_state      := Mux(needResend, m_sendTLB, m_waitCommit)
664          mmio_is_RVC     := isRVC
665          f3_mmio_data(0) := fromUncache.bits.data(15,0)
666          f3_mmio_data(1) := fromUncache.bits.data(31,16)
667      }
668    }
669
670    is(m_sendTLB){
671      mmio_state := Mux(io.iTLBInter.req.fire, m_tlbResp, m_sendTLB)
672    }
673
674    is(m_tlbResp){
675      when(io.iTLBInter.resp.fire) {
676        // we are using a blocked tlb, so resp.fire must have !resp.bits.miss
677        assert(!io.iTLBInter.resp.bits.miss, "blocked mode iTLB miss when resp.fire")
678        val tlb_exception = ExceptionType.fromTlbResp(io.iTLBInter.resp.bits)
679        // if tlb has exception, abort checking pmp, just send instr & exception to ibuffer and wait for commit
680        mmio_state := Mux(tlb_exception === ExceptionType.none, m_sendPMP, m_waitCommit)
681        // also save itlb response
682        mmio_resend_addr      := io.iTLBInter.resp.bits.paddr(0)
683        mmio_resend_exception := tlb_exception
684        mmio_resend_gpaddr    := io.iTLBInter.resp.bits.gpaddr(0)
685        mmio_resend_isForVSnonLeafPTE   := io.iTLBInter.resp.bits.isForVSnonLeafPTE(0)
686      }
687    }
688
689    is(m_sendPMP){
690      // if pmp re-check does not respond mmio, must be access fault
691      val pmp_exception = Mux(io.pmp.resp.mmio, ExceptionType.fromPMPResp(io.pmp.resp), ExceptionType.af)
692      // if pmp has exception, abort sending request, just send instr & exception to ibuffer and wait for commit
693      mmio_state := Mux(pmp_exception === ExceptionType.none, m_resendReq, m_waitCommit)
694      // also save pmp response
695      mmio_resend_exception := pmp_exception
696    }
697
698    is(m_resendReq){
699      mmio_state := Mux(toUncache.fire, m_waitResendResp, m_resendReq)
700    }
701
702    is(m_waitResendResp) {
703      when(fromUncache.fire) {
704        mmio_state      := m_waitCommit
705        f3_mmio_data(1) := fromUncache.bits.data(15,0)
706      }
707    }
708
709    is(m_waitCommit) {
710      mmio_state := Mux(mmio_commit, m_commited, m_waitCommit)
711    }
712
713    //normal mmio instruction
714    is(m_commited) {
715      mmio_state            := m_idle
716      mmio_is_RVC           := false.B
717      mmio_resend_addr      := 0.U
718      mmio_resend_exception := ExceptionType.none
719      mmio_resend_gpaddr    := 0.U
720      mmio_resend_isForVSnonLeafPTE   := false.B
721    }
722  }
723
724  // Exception or flush by older branch prediction
725  // Condition is from RegNext(fromFtq.redirect), 1 cycle after backend rediect
726  when(f3_ftq_flush_self || f3_ftq_flush_by_older) {
727    mmio_state            := m_idle
728    mmio_is_RVC           := false.B
729    mmio_resend_addr      := 0.U
730    mmio_resend_exception := ExceptionType.none
731    mmio_resend_gpaddr    := 0.U
732    mmio_resend_isForVSnonLeafPTE   := false.B
733    f3_mmio_data.map(_ := 0.U)
734  }
735
736  toUncache.valid     := ((mmio_state === m_sendReq) || (mmio_state === m_resendReq)) && f3_req_is_mmio
737  toUncache.bits.addr := Mux((mmio_state === m_resendReq), mmio_resend_addr, f3_paddrs(0))
738  fromUncache.ready   := true.B
739
740  // send itlb request in m_sendTLB state
741  io.iTLBInter.req.valid                   := (mmio_state === m_sendTLB) && f3_req_is_mmio
742  io.iTLBInter.req.bits.size               := 3.U
743  io.iTLBInter.req.bits.vaddr              := f3_resend_vaddr
744  io.iTLBInter.req.bits.debug.pc           := f3_resend_vaddr
745  io.iTLBInter.req.bits.cmd                := TlbCmd.exec
746  io.iTLBInter.req.bits.isPrefetch         := false.B
747  io.iTLBInter.req.bits.kill               := false.B // IFU use itlb for mmio, doesn't need sync, set it to false
748  io.iTLBInter.req.bits.no_translate       := false.B
749  io.iTLBInter.req.bits.fullva             := 0.U
750  io.iTLBInter.req.bits.checkfullva        := false.B
751  io.iTLBInter.req.bits.hyperinst          := DontCare
752  io.iTLBInter.req.bits.hlvx               := DontCare
753  io.iTLBInter.req.bits.memidx             := DontCare
754  io.iTLBInter.req.bits.debug.robIdx       := DontCare
755  io.iTLBInter.req.bits.debug.isFirstIssue := DontCare
756  io.iTLBInter.req.bits.pmp_addr           := DontCare
757  // whats the difference between req_kill and req.bits.kill?
758  io.iTLBInter.req_kill := false.B
759  // wait for itlb response in m_tlbResp state
760  io.iTLBInter.resp.ready := (mmio_state === m_tlbResp) && f3_req_is_mmio
761
762  io.pmp.req.valid := (mmio_state === m_sendPMP) && f3_req_is_mmio
763  io.pmp.req.bits.addr  := mmio_resend_addr
764  io.pmp.req.bits.size  := 3.U
765  io.pmp.req.bits.cmd   := TlbCmd.exec
766
767  val f3_lastHalf       = RegInit(0.U.asTypeOf(new LastHalfInfo))
768
769  val f3_predecode_range = VecInit(preDecoderOut.pd.map(inst => inst.valid)).asUInt
770  val f3_mmio_range      = VecInit((0 until PredictWidth).map(i => if(i ==0) true.B else false.B))
771  val f3_instr_valid     = Wire(Vec(PredictWidth, Bool()))
772
773  /*** prediction result check   ***/
774  checkerIn.ftqOffset   := f3_ftq_req.ftqOffset
775  checkerIn.jumpOffset  := f3_jump_offset
776  checkerIn.target      := f3_ftq_req.nextStartAddr
777  checkerIn.instrRange  := f3_instr_range.asTypeOf(Vec(PredictWidth, Bool()))
778  checkerIn.instrValid  := f3_instr_valid.asTypeOf(Vec(PredictWidth, Bool()))
779  checkerIn.pds         := f3_pd
780  checkerIn.pc          := f3_pc
781  checkerIn.fire_in     := RegNext(f2_fire, init = false.B)
782
783  /*** handle half RVI in the last 2 Bytes  ***/
784
785  def hasLastHalf(idx: UInt) = {
786    //!f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(idx) && !checkerOutStage2.fixedMissPred(idx) && ! f3_req_is_mmio
787    !f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(idx) && ! f3_req_is_mmio
788  }
789
790  val f3_last_validIdx       = ParallelPosteriorityEncoder(checkerOutStage1.fixedRange)
791
792  val f3_hasLastHalf         = hasLastHalf((PredictWidth - 1).U)
793  val f3_false_lastHalf      = hasLastHalf(f3_last_validIdx)
794  val f3_false_snpc          = f3_half_snpc(f3_last_validIdx)
795
796  val f3_lastHalf_mask    = VecInit((0 until PredictWidth).map( i => if(i ==0) false.B else true.B )).asUInt
797  val f3_lastHalf_disable = RegInit(false.B)
798
799  when(f3_flush || (f3_fire && f3_lastHalf_disable)){
800    f3_lastHalf_disable := false.B
801  }
802
803  when (f3_flush) {
804    f3_lastHalf.valid := false.B
805  }.elsewhen (f3_fire) {
806    f3_lastHalf.valid := f3_hasLastHalf && !f3_lastHalf_disable
807    f3_lastHalf.middlePC := f3_ftq_req.nextStartAddr
808  }
809
810  f3_instr_valid := Mux(f3_lastHalf.valid,f3_hasHalfValid ,VecInit(f3_pd.map(inst => inst.valid)))
811
812  /*** frontend Trigger  ***/
813  frontendTrigger.io.pds  := f3_pd
814  frontendTrigger.io.pc   := f3_pc
815  frontendTrigger.io.data   := f3_cut_data
816
817  frontendTrigger.io.frontendTrigger  := io.frontendTrigger
818
819  val f3_triggered = frontendTrigger.io.triggered
820  val f3_toIbuffer_valid = f3_valid && (!f3_req_is_mmio || f3_mmio_can_go) && !f3_flush
821
822  /*** send to Ibuffer  ***/
823  io.toIbuffer.valid            := f3_toIbuffer_valid
824  io.toIbuffer.bits.instrs      := f3_expd_instr
825  io.toIbuffer.bits.valid       := f3_instr_valid.asUInt
826  io.toIbuffer.bits.enqEnable   := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt
827  io.toIbuffer.bits.pd          := f3_pd
828  io.toIbuffer.bits.ftqPtr      := f3_ftq_req.ftqIdx
829  io.toIbuffer.bits.pc          := f3_pc
830  // Find last using PriorityMux
831  io.toIbuffer.bits.isLastInFtqEntry := Reverse(PriorityEncoderOH(Reverse(io.toIbuffer.bits.enqEnable))).asBools
832  io.toIbuffer.bits.ftqOffset.zipWithIndex.map{case(a, i) => a.bits := i.U; a.valid := checkerOutStage1.fixedTaken(i) && !f3_req_is_mmio}
833  io.toIbuffer.bits.foldpc      := f3_foldpc
834  io.toIbuffer.bits.exceptionType := ExceptionType.merge(f3_exception_vec, f3_crossPage_exception_vec)
835  // exceptionFromBackend only needs to be set for the first instruction.
836  // Other instructions in the same block may have pf or af set,
837  // which is a side effect of the first instruction and actually not necessary.
838  io.toIbuffer.bits.exceptionFromBackend := (0 until PredictWidth).map {
839    case 0 => f3_except_fromBackend
840    case _ => false.B
841  }
842  io.toIbuffer.bits.crossPageIPFFix := f3_crossPage_exception_vec.map(_ =/= ExceptionType.none)
843  io.toIbuffer.bits.illegalInstr:= f3_ill
844  io.toIbuffer.bits.triggered   := f3_triggered
845
846  when(f3_lastHalf.valid){
847    io.toIbuffer.bits.enqEnable := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt & f3_lastHalf_mask
848    io.toIbuffer.bits.valid     := f3_lastHalf_mask & f3_instr_valid.asUInt
849  }
850
851  /** to backend */
852  // f3_gpaddr is valid iff gpf is detected
853  io.toBackend.gpaddrMem_wen   := f3_toIbuffer_valid && Mux(
854    f3_req_is_mmio,
855    mmio_resend_exception === ExceptionType.gpf,
856    f3_exception.map(_ === ExceptionType.gpf).reduce(_||_)
857  )
858  io.toBackend.gpaddrMem_waddr := f3_ftq_req.ftqIdx.value
859  io.toBackend.gpaddrMem_wdata.gpaddr  := Mux(f3_req_is_mmio, mmio_resend_gpaddr, f3_gpaddr)
860  io.toBackend.gpaddrMem_wdata.isForVSnonLeafPTE := Mux(f3_req_is_mmio, mmio_resend_isForVSnonLeafPTE, f3_isForVSnonLeafPTE)
861
862  //Write back to Ftq
863  val f3_cache_fetch = f3_valid && !(f2_fire && !f2_flush)
864  val finishFetchMaskReg = RegNext(f3_cache_fetch)
865
866  val mmioFlushWb = Wire(Valid(new PredecodeWritebackBundle))
867  val f3_mmio_missOffset = Wire(ValidUndirectioned(UInt(log2Ceil(PredictWidth).W)))
868  f3_mmio_missOffset.valid := f3_req_is_mmio
869  f3_mmio_missOffset.bits  := 0.U
870
871  // Send mmioFlushWb back to FTQ 1 cycle after uncache fetch return
872  // When backend redirect, mmio_state reset after 1 cycle.
873  // In this case, mask .valid to avoid overriding backend redirect
874  mmioFlushWb.valid           := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire) &&
875    f3_mmio_use_seq_pc && !f3_ftq_flush_self && !f3_ftq_flush_by_older)
876  mmioFlushWb.bits.pc         := f3_pc
877  mmioFlushWb.bits.pd         := f3_pd
878  mmioFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid :=  f3_mmio_range(i)}
879  mmioFlushWb.bits.ftqIdx     := f3_ftq_req.ftqIdx
880  mmioFlushWb.bits.ftqOffset  := f3_ftq_req.ftqOffset.bits
881  mmioFlushWb.bits.misOffset  := f3_mmio_missOffset
882  mmioFlushWb.bits.cfiOffset  := DontCare
883  mmioFlushWb.bits.target     := Mux(mmio_is_RVC, f3_ftq_req.startAddr + 2.U , f3_ftq_req.startAddr + 4.U)
884  mmioFlushWb.bits.jalTarget  := DontCare
885  mmioFlushWb.bits.instrRange := f3_mmio_range
886
887  val mmioRVCExpander = Module(new RVCExpander)
888  mmioRVCExpander.io.in := Mux(f3_req_is_mmio, Cat(f3_mmio_data(1), f3_mmio_data(0)), 0.U)
889
890  /** external predecode for MMIO instruction */
891  when(f3_req_is_mmio){
892    val inst  = Cat(f3_mmio_data(1), f3_mmio_data(0))
893    val currentIsRVC   = isRVC(inst)
894
895    val brType::isCall::isRet::Nil = brInfo(inst)
896    val jalOffset = jal_offset(inst, currentIsRVC)
897    val brOffset  = br_offset(inst, currentIsRVC)
898
899    io.toIbuffer.bits.instrs(0) := Mux(mmioRVCExpander.io.ill, mmioRVCExpander.io.in, mmioRVCExpander.io.out.bits)
900
901    io.toIbuffer.bits.pd(0).valid   := true.B
902    io.toIbuffer.bits.pd(0).isRVC   := currentIsRVC
903    io.toIbuffer.bits.pd(0).brType  := brType
904    io.toIbuffer.bits.pd(0).isCall  := isCall
905    io.toIbuffer.bits.pd(0).isRet   := isRet
906
907    io.toIbuffer.bits.exceptionType(0)   := mmio_resend_exception
908    io.toIbuffer.bits.crossPageIPFFix(0) := mmio_resend_exception =/= ExceptionType.none
909    io.toIbuffer.bits.illegalInstr(0)  := mmioRVCExpander.io.ill
910
911    io.toIbuffer.bits.enqEnable   := f3_mmio_range.asUInt
912
913    mmioFlushWb.bits.pd(0).valid   := true.B
914    mmioFlushWb.bits.pd(0).isRVC   := currentIsRVC
915    mmioFlushWb.bits.pd(0).brType  := brType
916    mmioFlushWb.bits.pd(0).isCall  := isCall
917    mmioFlushWb.bits.pd(0).isRet   := isRet
918  }
919
920  mmio_redirect := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire)  && f3_mmio_use_seq_pc)
921
922  XSPerfAccumulate("fetch_bubble_ibuffer_not_ready",   io.toIbuffer.valid && !io.toIbuffer.ready )
923
924
925  /**
926    ******************************************************************************
927    * IFU Write Back Stage
928    * - write back predecode information to Ftq to update
929    * - redirect if found fault prediction
930    * - redirect if has false hit last half (last PC is not start + 32 Bytes, but in the midle of an notCFI RVI instruction)
931    ******************************************************************************
932    */
933  val wb_enable         = RegNext(f2_fire && !f2_flush) && !f3_req_is_mmio && !f3_flush
934  val wb_valid          = RegNext(wb_enable, init = false.B)
935  val wb_ftq_req        = RegEnable(f3_ftq_req, wb_enable)
936
937  val wb_check_result_stage1   = RegEnable(checkerOutStage1, wb_enable)
938  val wb_check_result_stage2   = checkerOutStage2
939  val wb_instr_range    = RegEnable(io.toIbuffer.bits.enqEnable, wb_enable)
940
941  val wb_pc_lower_result        = RegEnable(f3_pc_lower_result, wb_enable)
942  val wb_pc_high                = RegEnable(f3_pc_high, wb_enable)
943  val wb_pc_high_plus1          = RegEnable(f3_pc_high_plus1, wb_enable)
944  val wb_pc                     = CatPC(wb_pc_lower_result, wb_pc_high, wb_pc_high_plus1)
945
946  //val wb_pc             = RegEnable(f3_pc, wb_enable)
947  val wb_pd             = RegEnable(f3_pd, wb_enable)
948  val wb_instr_valid    = RegEnable(f3_instr_valid, wb_enable)
949
950  /* false hit lastHalf */
951  val wb_lastIdx        = RegEnable(f3_last_validIdx, wb_enable)
952  val wb_false_lastHalf = RegEnable(f3_false_lastHalf, wb_enable) && wb_lastIdx =/= (PredictWidth - 1).U
953  val wb_false_target   = RegEnable(f3_false_snpc, wb_enable)
954
955  val wb_half_flush = wb_false_lastHalf
956  val wb_half_target = wb_false_target
957
958  /* false oversize */
959  val lastIsRVC = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool())).last  && wb_pd.last.isRVC
960  val lastIsRVI = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool()))(PredictWidth - 2) && !wb_pd(PredictWidth - 2).isRVC
961  val lastTaken = wb_check_result_stage1.fixedTaken.last
962
963  f3_wb_not_flush := wb_ftq_req.ftqIdx === f3_ftq_req.ftqIdx && f3_valid && wb_valid
964
965  /** if a req with a last half but miss predicted enters in wb stage, and this cycle f3 stalls,
966    * we set a flag to notify f3 that the last half flag need not to be set.
967    */
968  //f3_fire is after wb_valid
969  when(wb_valid && RegNext(f3_hasLastHalf,init = false.B)
970        && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && !f3_fire  && !RegNext(f3_fire,init = false.B) && !f3_flush
971      ){
972    f3_lastHalf_disable := true.B
973  }
974
975  //wb_valid and f3_fire are in same cycle
976  when(wb_valid && RegNext(f3_hasLastHalf,init = false.B)
977        && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && f3_fire
978      ){
979    f3_lastHalf.valid := false.B
980  }
981
982  val checkFlushWb = Wire(Valid(new PredecodeWritebackBundle))
983  val checkFlushWbjalTargetIdx = ParallelPriorityEncoder(VecInit(wb_pd.zip(wb_instr_valid).map{case (pd, v) => v && pd.isJal }))
984  val checkFlushWbTargetIdx = ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred)
985  checkFlushWb.valid                  := wb_valid
986  checkFlushWb.bits.pc                := wb_pc
987  checkFlushWb.bits.pd                := wb_pd
988  checkFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid := wb_instr_valid(i)}
989  checkFlushWb.bits.ftqIdx            := wb_ftq_req.ftqIdx
990  checkFlushWb.bits.ftqOffset         := wb_ftq_req.ftqOffset.bits
991  checkFlushWb.bits.misOffset.valid   := ParallelOR(wb_check_result_stage2.fixedMissPred) || wb_half_flush
992  checkFlushWb.bits.misOffset.bits    := Mux(wb_half_flush, wb_lastIdx, ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred))
993  checkFlushWb.bits.cfiOffset.valid   := ParallelOR(wb_check_result_stage1.fixedTaken)
994  checkFlushWb.bits.cfiOffset.bits    := ParallelPriorityEncoder(wb_check_result_stage1.fixedTaken)
995  checkFlushWb.bits.target            := Mux(wb_half_flush, wb_half_target, wb_check_result_stage2.fixedTarget(checkFlushWbTargetIdx))
996  checkFlushWb.bits.jalTarget         := wb_check_result_stage2.jalTarget(checkFlushWbjalTargetIdx)
997  checkFlushWb.bits.instrRange        := wb_instr_range.asTypeOf(Vec(PredictWidth, Bool()))
998
999  toFtq.pdWb := Mux(wb_valid, checkFlushWb,  mmioFlushWb)
1000
1001  wb_redirect := checkFlushWb.bits.misOffset.valid && wb_valid
1002
1003  /*write back flush type*/
1004  val checkFaultType = wb_check_result_stage2.faultType
1005  val checkJalFault =  wb_valid && checkFaultType.map(_.isjalFault).reduce(_||_)
1006  val checkRetFault =  wb_valid && checkFaultType.map(_.isRetFault).reduce(_||_)
1007  val checkTargetFault =  wb_valid && checkFaultType.map(_.istargetFault).reduce(_||_)
1008  val checkNotCFIFault =  wb_valid && checkFaultType.map(_.notCFIFault).reduce(_||_)
1009  val checkInvalidTaken =  wb_valid && checkFaultType.map(_.invalidTakenFault).reduce(_||_)
1010
1011
1012  XSPerfAccumulate("predecode_flush_jalFault",   checkJalFault )
1013  XSPerfAccumulate("predecode_flush_retFault",   checkRetFault )
1014  XSPerfAccumulate("predecode_flush_targetFault",   checkTargetFault )
1015  XSPerfAccumulate("predecode_flush_notCFIFault",   checkNotCFIFault )
1016  XSPerfAccumulate("predecode_flush_incalidTakenFault",   checkInvalidTaken )
1017
1018  when(checkRetFault){
1019    XSDebug("startAddr:%x  nextstartAddr:%x  taken:%d    takenIdx:%d\n",
1020        wb_ftq_req.startAddr, wb_ftq_req.nextStartAddr, wb_ftq_req.ftqOffset.valid, wb_ftq_req.ftqOffset.bits)
1021  }
1022
1023
1024  /** performance counter */
1025  val f3_perf_info     = RegEnable(f2_perf_info,  f2_fire)
1026  val f3_req_0    = io.toIbuffer.fire
1027  val f3_req_1    = io.toIbuffer.fire && f3_doubleLine
1028  val f3_hit_0    = io.toIbuffer.fire && f3_perf_info.bank_hit(0)
1029  val f3_hit_1    = io.toIbuffer.fire && f3_doubleLine & f3_perf_info.bank_hit(1)
1030  val f3_hit      = f3_perf_info.hit
1031  val perfEvents = Seq(
1032    ("frontendFlush                ", wb_redirect                                ),
1033    ("ifu_req                      ", io.toIbuffer.fire                        ),
1034    ("ifu_miss                     ", io.toIbuffer.fire && !f3_perf_info.hit   ),
1035    ("ifu_req_cacheline_0          ", f3_req_0                                   ),
1036    ("ifu_req_cacheline_1          ", f3_req_1                                   ),
1037    ("ifu_req_cacheline_0_hit      ", f3_hit_1                                   ),
1038    ("ifu_req_cacheline_1_hit      ", f3_hit_1                                   ),
1039    ("only_0_hit                   ", f3_perf_info.only_0_hit       && io.toIbuffer.fire ),
1040    ("only_0_miss                  ", f3_perf_info.only_0_miss      && io.toIbuffer.fire ),
1041    ("hit_0_hit_1                  ", f3_perf_info.hit_0_hit_1      && io.toIbuffer.fire ),
1042    ("hit_0_miss_1                 ", f3_perf_info.hit_0_miss_1     && io.toIbuffer.fire ),
1043    ("miss_0_hit_1                 ", f3_perf_info.miss_0_hit_1     && io.toIbuffer.fire ),
1044    ("miss_0_miss_1                ", f3_perf_info.miss_0_miss_1    && io.toIbuffer.fire ),
1045  )
1046  generatePerfEvent()
1047
1048  XSPerfAccumulate("ifu_req",   io.toIbuffer.fire )
1049  XSPerfAccumulate("ifu_miss",  io.toIbuffer.fire && !f3_hit )
1050  XSPerfAccumulate("ifu_req_cacheline_0", f3_req_0  )
1051  XSPerfAccumulate("ifu_req_cacheline_1", f3_req_1  )
1052  XSPerfAccumulate("ifu_req_cacheline_0_hit",   f3_hit_0 )
1053  XSPerfAccumulate("ifu_req_cacheline_1_hit",   f3_hit_1 )
1054  XSPerfAccumulate("frontendFlush",  wb_redirect )
1055  XSPerfAccumulate("only_0_hit",      f3_perf_info.only_0_hit   && io.toIbuffer.fire  )
1056  XSPerfAccumulate("only_0_miss",     f3_perf_info.only_0_miss  && io.toIbuffer.fire  )
1057  XSPerfAccumulate("hit_0_hit_1",     f3_perf_info.hit_0_hit_1  && io.toIbuffer.fire  )
1058  XSPerfAccumulate("hit_0_miss_1",    f3_perf_info.hit_0_miss_1  && io.toIbuffer.fire  )
1059  XSPerfAccumulate("miss_0_hit_1",    f3_perf_info.miss_0_hit_1   && io.toIbuffer.fire )
1060  XSPerfAccumulate("miss_0_miss_1",   f3_perf_info.miss_0_miss_1 && io.toIbuffer.fire )
1061  XSPerfAccumulate("hit_0_except_1",   f3_perf_info.hit_0_except_1 && io.toIbuffer.fire )
1062  XSPerfAccumulate("miss_0_except_1",   f3_perf_info.miss_0_except_1 && io.toIbuffer.fire )
1063  XSPerfAccumulate("except_0",   f3_perf_info.except_0 && io.toIbuffer.fire )
1064  XSPerfHistogram("ifu2ibuffer_validCnt", PopCount(io.toIbuffer.bits.valid & io.toIbuffer.bits.enqEnable), io.toIbuffer.fire, 0, PredictWidth + 1, 1)
1065
1066  val hartId = p(XSCoreParamsKey).HartId
1067  val isWriteFetchToIBufferTable = Constantin.createRecord(s"isWriteFetchToIBufferTable$hartId")
1068  val isWriteIfuWbToFtqTable = Constantin.createRecord(s"isWriteIfuWbToFtqTable$hartId")
1069  val fetchToIBufferTable = ChiselDB.createTable(s"FetchToIBuffer$hartId", new FetchToIBufferDB)
1070  val ifuWbToFtqTable = ChiselDB.createTable(s"IfuWbToFtq$hartId", new IfuWbToFtqDB)
1071
1072  val fetchIBufferDumpData = Wire(new FetchToIBufferDB)
1073  fetchIBufferDumpData.start_addr := f3_ftq_req.startAddr
1074  fetchIBufferDumpData.instr_count := PopCount(io.toIbuffer.bits.enqEnable)
1075  fetchIBufferDumpData.exception := (f3_perf_info.except_0 && io.toIbuffer.fire) || (f3_perf_info.hit_0_except_1 && io.toIbuffer.fire) || (f3_perf_info.miss_0_except_1 && io.toIbuffer.fire)
1076  fetchIBufferDumpData.is_cache_hit := f3_hit
1077
1078  val ifuWbToFtqDumpData = Wire(new IfuWbToFtqDB)
1079  ifuWbToFtqDumpData.start_addr := wb_ftq_req.startAddr
1080  ifuWbToFtqDumpData.is_miss_pred := checkFlushWb.bits.misOffset.valid
1081  ifuWbToFtqDumpData.miss_pred_offset := checkFlushWb.bits.misOffset.bits
1082  ifuWbToFtqDumpData.checkJalFault := checkJalFault
1083  ifuWbToFtqDumpData.checkRetFault := checkRetFault
1084  ifuWbToFtqDumpData.checkTargetFault := checkTargetFault
1085  ifuWbToFtqDumpData.checkNotCFIFault := checkNotCFIFault
1086  ifuWbToFtqDumpData.checkInvalidTaken := checkInvalidTaken
1087
1088  fetchToIBufferTable.log(
1089    data = fetchIBufferDumpData,
1090    en = isWriteFetchToIBufferTable.orR && io.toIbuffer.fire,
1091    site = "IFU" + p(XSCoreParamsKey).HartId.toString,
1092    clock = clock,
1093    reset = reset
1094  )
1095  ifuWbToFtqTable.log(
1096    data = ifuWbToFtqDumpData,
1097    en = isWriteIfuWbToFtqTable.orR && checkFlushWb.valid,
1098    site = "IFU" + p(XSCoreParamsKey).HartId.toString,
1099    clock = clock,
1100    reset = reset
1101  )
1102
1103}
1104