xref: /XiangShan/src/main/scala/xiangshan/frontend/IFU.scala (revision 921522a3689aca1506393ae423b6e30b071ed3f9)
1package xiangshan.frontend
2
3import chisel3._
4import chisel3.util._
5import device.RAMHelper
6import xiangshan._
7import utils._
8import xiangshan.cache._
9
10trait HasIFUConst { this: XSModule =>
11  val resetVector = 0x80000000L//TODO: set reset vec
12  val groupAlign = log2Up(FetchWidth * 4 * 2)
13  def groupPC(pc: UInt): UInt = Cat(pc(VAddrBits-1, groupAlign), 0.U(groupAlign.W))
14  // each 1 bit in mask stands for 2 Bytes
15  def mask(pc: UInt): UInt = (Fill(PredictWidth * 2, 1.U(1.W)) >> pc(groupAlign - 1, 1))(PredictWidth - 1, 0)
16  def snpc(pc: UInt): UInt = pc + (PopCount(mask(pc)) << 1)
17
18  val IFUDebug = true
19}
20
21class GlobalHistoryInfo() extends XSBundle {
22  val sawNTBr = Bool()
23  val takenOnBr = Bool()
24  val saveHalfRVI = Bool()
25  def shifted = takenOnBr || sawNTBr
26  def newPtr(ptr: UInt) = Mux(shifted, ptr - 1.U, ptr)
27  implicit val name = "IFU"
28  def debug = XSDebug("[GHInfo] sawNTBr=%d, takenOnBr=%d, saveHalfRVI=%d\n", sawNTBr, takenOnBr, saveHalfRVI)
29  // override def toString(): String = "histPtr=%d, sawNTBr=%d, takenOnBr=%d, saveHalfRVI=%d".format(histPtr, sawNTBr, takenOnBr, saveHalfRVI)
30}
31
32class IFUIO extends XSBundle
33{
34  val fetchPacket = DecoupledIO(new FetchPacket)
35  val redirect = Flipped(ValidIO(new Redirect))
36  val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
37  val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
38  val icacheReq = DecoupledIO(new ICacheReq)
39  val icacheResp = Flipped(DecoupledIO(new ICacheResp))
40  val icacheFlush = Output(UInt(2.W))
41  // val loopBufPar = Flipped(new LoopBufferParameters)
42}
43
44class IFU extends XSModule with HasIFUConst
45{
46  val io = IO(new IFUIO)
47  val bpu = BPU(EnableBPU)
48  val pd = Module(new PreDecode)
49  val loopBuffer = if(EnableLB) { Module(new LoopBuffer) } else { Module(new FakeLoopBuffer) }
50
51  val if2_redirect, if3_redirect, if4_redirect = WireInit(false.B)
52  val if1_flush, if2_flush, if3_flush, if4_flush = WireInit(false.B)
53
54  val loopBufPar = loopBuffer.io.loopBufPar
55  val inLoop = WireInit(loopBuffer.io.out.valid)
56  val icacheResp = WireInit(Mux(inLoop, loopBuffer.io.out.bits, io.icacheResp.bits))
57
58  if4_flush := io.redirect.valid || loopBufPar.LBredirect.valid
59  if3_flush := if4_flush || if4_redirect
60  if2_flush := if3_flush || if3_redirect
61  if1_flush := if2_flush || if2_redirect
62
63  loopBuffer.io.flush := io.redirect.valid
64
65  //********************** IF1 ****************************//
66  val if1_valid = !reset.asBool && GTimer() > 500.U
67  val if1_npc = WireInit(0.U(VAddrBits.W))
68  val if2_ready = WireInit(false.B)
69  val if1_fire = if1_valid && (if2_ready || if1_flush) && (inLoop || io.icacheReq.ready)
70
71
72  val if1_histPtr, if2_histPtr, if3_histPtr, if4_histPtr = Wire(UInt(log2Up(ExtHistoryLength).W))
73  val if2_newPtr, if3_newPtr, if4_newPtr = Wire(UInt(log2Up(ExtHistoryLength).W))
74
75  val extHist = RegInit(VecInit(Seq.fill(ExtHistoryLength)(0.U(1.W))))
76  val shiftPtr = WireInit(false.B)
77  val newPtr = Wire(UInt(log2Up(ExtHistoryLength).W))
78  val ptr = Mux(shiftPtr, newPtr, if1_histPtr)
79  val hist = Wire(Vec(HistoryLength, UInt(1.W)))
80  for (i <- 0 until HistoryLength) {
81    hist(i) := extHist(ptr + i.U)
82  }
83
84  shiftPtr := false.B
85  newPtr := if1_histPtr
86
87  def wrapGHInfo(bp: BranchPrediction) = {
88    val ghi = Wire(new GlobalHistoryInfo())
89    ghi.sawNTBr     := bp.hasNotTakenBrs
90    ghi.takenOnBr   := bp.takenOnBr
91    ghi.saveHalfRVI := bp.saveHalfRVI
92    ghi
93  }
94
95  //********************** IF2 ****************************//
96  val if2_valid = RegInit(init = false.B)
97  val if3_ready = WireInit(false.B)
98  val if2_fire = if2_valid && if3_ready && !if2_flush
99  val if2_pc = RegEnable(next = if1_npc, init = resetVector.U, enable = if1_fire)
100  val if2_snpc = snpc(if2_pc)
101  val if2_predHistPtr = RegEnable(ptr, enable=if1_fire)
102  if2_ready := if2_fire || !if2_valid || if2_flush
103  when (if1_fire)       { if2_valid := if1_valid }
104  .elsewhen (if2_flush) { if2_valid := false.B }
105  .elsewhen (if2_fire)  { if2_valid := false.B }
106
107  when (RegNext(reset.asBool) && !reset.asBool) {
108    if1_npc := resetVector.U(VAddrBits.W)
109  }.elsewhen (if2_fire) {
110    if1_npc := if2_snpc
111  }.otherwise {
112    if1_npc := RegNext(if1_npc)
113  }
114
115  val if2_bp = bpu.io.out(0)
116  // if taken, bp_redirect should be true
117  // when taken on half RVI, we suppress this redirect signal
118  if2_redirect := if2_fire && if2_bp.redirect && !if2_bp.saveHalfRVI
119  when (if2_redirect) {
120    if1_npc := if2_bp.target
121  }
122
123  val if2_GHInfo = wrapGHInfo(if2_bp)
124
125  when (if2_fire && if2_GHInfo.shifted) {
126    shiftPtr := true.B
127    newPtr := if2_newPtr
128  }
129  when (if2_GHInfo.shifted && if2_newPtr >= ptr) {
130    hist(if2_newPtr-ptr) := if2_GHInfo.takenOnBr.asUInt
131  }
132
133
134
135  //********************** IF3 ****************************//
136  val if3_valid = RegInit(init = false.B)
137  val if4_ready = WireInit(false.B)
138  val if3_fire = if3_valid && if4_ready && (inLoop || io.icacheResp.valid) && !if3_flush
139  val if3_pc = RegEnable(if2_pc, if2_fire)
140  val if3_predHistPtr = RegEnable(if2_predHistPtr, enable=if2_fire)
141  if3_ready := if3_fire || !if3_valid || if3_flush
142  when (if3_flush)     { if3_valid := false.B }
143  .elsewhen (if2_fire) { if3_valid := true.B }
144  .elsewhen (if3_fire) { if3_valid := false.B }
145
146  val if3_bp = bpu.io.out(1)
147
148  val if3_GHInfo = wrapGHInfo(if3_bp)
149
150  class PrevHalfInstr extends Bundle {
151    val valid = Bool()
152    val taken = Bool()
153    val ghInfo = new GlobalHistoryInfo()
154    val fetchpc = UInt(VAddrBits.W) // only for debug
155    val idx = UInt(VAddrBits.W) // only for debug
156    val pc = UInt(VAddrBits.W)
157    val target = UInt(VAddrBits.W)
158    val instr = UInt(16.W)
159    val ipf = Bool()
160    val newPtr = UInt(log2Up(ExtHistoryLength).W)
161  }
162
163  val if3_prevHalfInstr = RegInit(0.U.asTypeOf(new PrevHalfInstr))
164  val if4_prevHalfInstr = Wire(new PrevHalfInstr)
165  // 32-bit instr crosses 2 pages, and the higher 16-bit triggers page fault
166  val crossPageIPF = WireInit(false.B)
167  when (if4_prevHalfInstr.valid) {
168    if3_prevHalfInstr := if4_prevHalfInstr
169  }
170  val prevHalfInstr = Mux(if4_prevHalfInstr.valid, if4_prevHalfInstr, if3_prevHalfInstr)
171
172  // the previous half of RVI instruction waits until it meets its last half
173  val if3_hasPrevHalfInstr = prevHalfInstr.valid && (prevHalfInstr.pc + 2.U) === if3_pc
174  // set to invalid once consumed or redirect from backend
175  val prevHalfConsumed = if3_hasPrevHalfInstr && if3_fire || if4_flush
176  when (prevHalfConsumed) {
177    if3_prevHalfInstr.valid := false.B
178  }
179
180  // when bp signal a redirect, we distinguish between taken and not taken
181  // if taken and saveHalfRVI is true, we do not redirect to the target
182  if3_redirect := if3_fire && (if3_hasPrevHalfInstr && prevHalfInstr.taken || if3_bp.redirect && (if3_bp.taken && !if3_bp.saveHalfRVI || !if3_bp.taken) )
183
184  when (if3_redirect) {
185    when (!(if3_hasPrevHalfInstr && prevHalfInstr.taken)) {
186      if1_npc := if3_bp.target
187      when (if3_GHInfo.shifted){
188        shiftPtr := true.B
189        newPtr := if3_newPtr
190      }
191    }
192  }
193
194  // when it does not redirect, we still need to modify hist(wire)
195  when(if3_GHInfo.shifted && if3_newPtr >= ptr) {
196    hist(if3_newPtr-ptr) := if3_GHInfo.takenOnBr
197  }
198  when (if3_hasPrevHalfInstr && prevHalfInstr.ghInfo.shifted && prevHalfInstr.newPtr >= ptr) {
199    hist(prevHalfInstr.newPtr-ptr) := prevHalfInstr.ghInfo.takenOnBr
200  }
201
202  //********************** IF4 ****************************//
203  val if4_pd = RegEnable(pd.io.out, if3_fire)
204  val if4_ipf = RegEnable(icacheResp.ipf || if3_hasPrevHalfInstr && prevHalfInstr.ipf, if3_fire)
205  val if4_crossPageIPF = RegEnable(crossPageIPF, if3_fire)
206  val if4_valid = RegInit(false.B)
207  val if4_fire = if4_valid && io.fetchPacket.ready
208  val if4_pc = RegEnable(if3_pc, if3_fire)
209
210  val if4_predHistPtr = RegEnable(if3_predHistPtr, enable=if3_fire)
211  if4_ready := (if4_fire || !if4_valid || if4_flush) && GTimer() > 500.U
212  when (if4_flush)     { if4_valid := false.B }
213  .elsewhen (if3_fire) { if4_valid := true.B }
214  .elsewhen (if4_fire) { if4_valid := false.B }
215
216  val if4_bp = Wire(new BranchPrediction)
217  if4_bp := bpu.io.out(2)
218
219  val if4_GHInfo = wrapGHInfo(if4_bp)
220
221  val if4_cfi_jal = if4_pd.instrs(if4_bp.jmpIdx)
222  val if4_cfi_jal_tgt = if4_pd.pc(if4_bp.jmpIdx) + Mux(if4_pd.pd(if4_bp.jmpIdx).isRVC,
223    SignExt(Cat(if4_cfi_jal(12), if4_cfi_jal(8), if4_cfi_jal(10, 9), if4_cfi_jal(6), if4_cfi_jal(7), if4_cfi_jal(2), if4_cfi_jal(11), if4_cfi_jal(5, 3), 0.U(1.W)), XLEN),
224    SignExt(Cat(if4_cfi_jal(31), if4_cfi_jal(19, 12), if4_cfi_jal(20), if4_cfi_jal(30, 21), 0.U(1.W)), XLEN))
225  if4_bp.target := Mux(if4_pd.pd(if4_bp.jmpIdx).isJal && if4_bp.taken, if4_cfi_jal_tgt, bpu.io.out(2).target)
226  if4_bp.redirect := bpu.io.out(2).redirect || if4_pd.pd(if4_bp.jmpIdx).isJal && if4_bp.taken && if4_cfi_jal_tgt =/= bpu.io.out(2).target
227
228  if4_prevHalfInstr := 0.U.asTypeOf(new PrevHalfInstr)
229  when (if4_fire && if4_bp.saveHalfRVI) {
230    if4_prevHalfInstr.valid := true.B
231    if4_prevHalfInstr.taken := if4_bp.taken
232    if4_prevHalfInstr.ghInfo := if4_GHInfo
233    // Make sure shifted can work
234    if4_prevHalfInstr.ghInfo.saveHalfRVI := false.B
235    if4_prevHalfInstr.newPtr := if4_newPtr
236    if4_prevHalfInstr.fetchpc := if4_pc
237    if4_prevHalfInstr.idx := PopCount(mask(if4_pc)) - 1.U
238    if4_prevHalfInstr.pc := if4_pd.pc(if4_prevHalfInstr.idx)
239    if4_prevHalfInstr.target := if4_bp.target
240    if4_prevHalfInstr.instr := if4_pd.instrs(if4_prevHalfInstr.idx)(15, 0)
241    if4_prevHalfInstr.ipf := if4_ipf
242  }
243
244  // Redirect and npc logic for if4
245  when (if4_fire && if4_bp.redirect) {
246    if4_redirect := true.B
247    when (if4_bp.saveHalfRVI) {
248      if1_npc := snpc(if4_pc)
249    }.otherwise {
250      if1_npc := if4_bp.target
251    }
252  }
253
254  // This should cover the if4 redirect to snpc when saveHalfRVI
255  when (if3_redirect) {
256    when (if3_hasPrevHalfInstr && prevHalfInstr.taken) {
257      if1_npc := prevHalfInstr.target
258    }
259  }
260
261  // history logic for if4
262  when (if4_fire && if4_bp.redirect) {
263    shiftPtr := true.B
264    newPtr := if4_newPtr
265  }
266
267  when (if4_GHInfo.shifted && if4_newPtr >= ptr) {
268    hist(if4_newPtr-ptr) := if4_GHInfo.takenOnBr
269  }
270
271  when (if3_redirect) {
272    // when redirect and if3_hasPrevHalfInstr, this prevHalfInstr should only be taken
273    when (if3_hasPrevHalfInstr && prevHalfInstr.ghInfo.shifted) {
274      shiftPtr := true.B
275      newPtr := prevHalfInstr.newPtr
276      extHist(prevHalfInstr.newPtr) := prevHalfInstr.ghInfo.takenOnBr
277    }
278  }
279
280  // modify GHR at the end of a prediction lifetime
281  when (if4_fire && if4_GHInfo.shifted) {
282    extHist(if4_newPtr) := if4_GHInfo.takenOnBr
283  }
284
285  // This is a histPtr which is only modified when a prediction
286  // is sent, so that it can get the final prediction info
287  val finalPredHistPtr = RegInit(0.U(log2Up(ExtHistoryLength).W))
288  if4_histPtr := finalPredHistPtr
289  if4_newPtr  := if3_histPtr
290  when (if4_fire && if4_GHInfo.shifted) {
291    finalPredHistPtr := if4_newPtr
292  }
293
294  if3_histPtr := Mux(if4_GHInfo.shifted && if4_valid && !if4_flush, if4_histPtr - 1.U, if4_histPtr)
295  if3_newPtr  := if2_histPtr
296
297  if2_histPtr := Mux(if3_GHInfo.shifted && if3_valid && !if3_flush, if3_histPtr - 1.U, if3_histPtr)
298  if2_newPtr  := if1_histPtr
299
300  if1_histPtr := Mux(if2_GHInfo.shifted && if2_valid && !if2_flush, if2_histPtr - 1.U, if2_histPtr)
301
302
303
304
305  when (io.outOfOrderBrInfo.valid && io.outOfOrderBrInfo.bits.isMisPred) {
306    val b = io.outOfOrderBrInfo.bits
307    val oldPtr = b.brInfo.histPtr
308    shiftPtr := true.B
309    when (!b.pd.isBr && !b.brInfo.sawNotTakenBranch) {
310      // If mispredicted cfi is not a branch,
311      // and there wasn't any not taken branch before it,
312      // we should only recover the pointer to an unshifted state
313      newPtr := oldPtr
314      finalPredHistPtr := oldPtr
315    }.otherwise {
316      newPtr := oldPtr - 1.U
317      finalPredHistPtr := oldPtr - 1.U
318      hist(0) := Mux(b.pd.isBr, b.taken, 0.U)
319      extHist(newPtr) := Mux(b.pd.isBr, b.taken, 0.U)
320    }
321  }
322
323  when (loopBufPar.LBredirect.valid) {
324    if1_npc := loopBufPar.LBredirect.bits
325  }
326
327  when (io.redirect.valid) {
328    if1_npc := io.redirect.bits.target
329  }
330
331  when(inLoop) {
332    io.icacheReq.valid := if4_flush
333  }.otherwise {
334    io.icacheReq.valid := if1_valid && if2_ready
335  }
336  io.icacheResp.ready := if4_ready
337  io.icacheReq.bits.addr := if1_npc
338
339  // when(if4_bp.taken) {
340  //   when(if4_bp.saveHalfRVI) {
341  //     io.loopBufPar.LBReq := snpc(if4_pc)
342  //   }.otherwise {
343  //     io.loopBufPar.LBReq := if4_bp.target
344  //   }
345  // }.otherwise {
346  //   io.loopBufPar.LBReq := snpc(if4_pc)
347  //   XSDebug(p"snpc(if4_pc)=${Hexadecimal(snpc(if4_pc))}\n")
348  // }
349  loopBufPar.fetchReq := if3_pc
350
351  io.icacheReq.bits.mask := mask(if1_npc)
352
353  io.icacheFlush := Cat(if3_flush, if2_flush)
354
355  val inOrderBrHist = Wire(Vec(HistoryLength, UInt(1.W)))
356  (0 until HistoryLength).foreach(i => inOrderBrHist(i) := extHist(i.U + io.inOrderBrInfo.bits.brInfo.predHistPtr))
357  bpu.io.inOrderBrInfo.valid := io.inOrderBrInfo.valid
358  bpu.io.inOrderBrInfo.bits := BranchUpdateInfoWithHist(io.inOrderBrInfo.bits, inOrderBrHist.asUInt)
359  bpu.io.outOfOrderBrInfo.valid := io.outOfOrderBrInfo.valid
360  bpu.io.outOfOrderBrInfo.bits := BranchUpdateInfoWithHist(io.outOfOrderBrInfo.bits, inOrderBrHist.asUInt) // Dont care about hist
361
362  // bpu.io.flush := Cat(if4_flush, if3_flush, if2_flush)
363  bpu.io.flush := VecInit(if2_flush, if3_flush, if4_flush)
364  bpu.io.inFire(0) := if1_fire
365  bpu.io.inFire(1) := if2_fire
366  bpu.io.inFire(2) := if3_fire
367  bpu.io.inFire(3) := if4_fire
368  bpu.io.stageValid(0) := if2_valid
369  bpu.io.stageValid(1) := if3_valid
370  bpu.io.stageValid(2) := if4_valid
371  bpu.io.in.pc := if1_npc
372  bpu.io.in.hist := hist.asUInt
373  bpu.io.in.histPtr := ptr
374  bpu.io.in.inMask := mask(if1_npc)
375  bpu.io.predecode.mask := if4_pd.mask
376  bpu.io.predecode.pd := if4_pd.pd
377  bpu.io.predecode.isFetchpcEqualFirstpc := if4_pc === if4_pd.pc(0)
378
379  pd.io.in := icacheResp
380  when(inLoop) {
381    pd.io.in.mask := loopBuffer.io.out.bits.mask & mask(loopBuffer.io.out.bits.pc) // TODO: Maybe this is unnecessary
382    // XSDebug("Fetch from LB\n")
383    // XSDebug(p"pc=${Hexadecimal(io.loopBufPar.LBResp.pc)}\n")
384    // XSDebug(p"data=${Hexadecimal(io.loopBufPar.LBResp.data)}\n")
385    // XSDebug(p"mask=${Hexadecimal(io.loopBufPar.LBResp.mask)}\n")
386  }
387
388  pd.io.prev.valid := if3_hasPrevHalfInstr
389  pd.io.prev.bits := prevHalfInstr.instr
390  // if a fetch packet triggers page fault, set the pf instruction to nop
391  when (!if3_hasPrevHalfInstr && icacheResp.ipf) {
392    val instrs = Wire(Vec(FetchWidth, UInt(32.W)))
393    (0 until FetchWidth).foreach(i => instrs(i) := ZeroExt("b0010011".U, 32)) // nop
394    pd.io.in.data := instrs.asUInt
395  }.elsewhen (if3_hasPrevHalfInstr && (prevHalfInstr.ipf || icacheResp.ipf)) {
396    pd.io.prev.bits := ZeroExt("b0010011".U, 16)
397    val instrs = Wire(Vec(FetchWidth, UInt(32.W)))
398    (0 until FetchWidth).foreach(i => instrs(i) := Cat(ZeroExt("b0010011".U, 16), Fill(16, 0.U(1.W))))
399    pd.io.in.data := instrs.asUInt
400
401    when (icacheResp.ipf && !prevHalfInstr.ipf) { crossPageIPF := true.B } // higher 16 bits page fault
402  }
403
404  //Performance Counter
405  // if (!env.FPGAPlatform ) {
406  //   ExcitingUtils.addSource(io.fetchPacket.fire && !inLoop, "CntFetchFromICache", Perf)
407  //   ExcitingUtils.addSource(io.fetchPacket.fire && inLoop, "CntFetchFromLoopBuffer", Perf)
408  // }
409
410  val fetchPacketValid = if4_valid && !io.redirect.valid
411  val fetchPacketWire = Wire(new FetchPacket)
412
413  // io.fetchPacket.valid := if4_valid && !io.redirect.valid
414  fetchPacketWire.instrs := if4_pd.instrs
415  fetchPacketWire.mask := if4_pd.mask & (Fill(PredictWidth, !if4_bp.taken) | (Fill(PredictWidth, 1.U(1.W)) >> (~if4_bp.jmpIdx)))
416  loopBufPar.noTakenMask := if4_pd.mask
417  fetchPacketWire.pc := if4_pd.pc
418  (0 until PredictWidth).foreach(i => fetchPacketWire.pnpc(i) := if4_pd.pc(i) + Mux(if4_pd.pd(i).isRVC, 2.U, 4.U))
419  when (if4_bp.taken) {
420    fetchPacketWire.pnpc(if4_bp.jmpIdx) := if4_bp.target
421  }
422  fetchPacketWire.brInfo := bpu.io.branchInfo
423  (0 until PredictWidth).foreach(i => fetchPacketWire.brInfo(i).histPtr := finalPredHistPtr)
424  (0 until PredictWidth).foreach(i => fetchPacketWire.brInfo(i).predHistPtr := if4_predHistPtr)
425  fetchPacketWire.pd := if4_pd.pd
426  fetchPacketWire.ipf := if4_ipf
427  fetchPacketWire.crossPageIPFFix := if4_crossPageIPF
428
429  // predTaken Vec
430  fetchPacketWire.predTaken := if4_bp.taken
431
432  loopBuffer.io.in.bits := fetchPacketWire
433  io.fetchPacket.bits := fetchPacketWire
434  io.fetchPacket.valid := fetchPacketValid
435  loopBuffer.io.in.valid := io.fetchPacket.fire
436
437  // debug info
438  if (IFUDebug) {
439    XSDebug(RegNext(reset.asBool) && !reset.asBool, "Reseting...\n")
440    XSDebug(io.icacheFlush(0).asBool, "Flush icache stage2...\n")
441    XSDebug(io.icacheFlush(1).asBool, "Flush icache stage3...\n")
442    XSDebug(io.redirect.valid, "Redirect from backend! isExcp=%d isFpp:%d isMisPred=%d isReplay=%d pc=%x\n",
443      io.redirect.bits.isException, io.redirect.bits.isFlushPipe, io.redirect.bits.isMisPred, io.redirect.bits.isReplay, io.redirect.bits.pc)
444    XSDebug(io.redirect.valid, p"Redirect from backend! target=${Hexadecimal(io.redirect.bits.target)} brTag=${io.redirect.bits.brTag}\n")
445
446    XSDebug("[IF1] v=%d     fire=%d            flush=%d pc=%x ptr=%d mask=%b\n", if1_valid, if1_fire, if1_flush, if1_npc, ptr, mask(if1_npc))
447    XSDebug("[IF2] v=%d r=%d fire=%d redirect=%d flush=%d pc=%x ptr=%d snpc=%x\n", if2_valid, if2_ready, if2_fire, if2_redirect, if2_flush, if2_pc, if2_histPtr, if2_snpc)
448    XSDebug("[IF3] v=%d r=%d fire=%d redirect=%d flush=%d pc=%x ptr=%d crossPageIPF=%d sawNTBrs=%d\n", if3_valid, if3_ready, if3_fire, if3_redirect, if3_flush, if3_pc, if3_histPtr, crossPageIPF, if3_GHInfo.sawNTBr)
449    XSDebug("[IF4] v=%d r=%d fire=%d redirect=%d flush=%d pc=%x ptr=%d crossPageIPF=%d sawNTBrs=%d\n", if4_valid, if4_ready, if4_fire, if4_redirect, if4_flush, if4_pc, if4_histPtr, if4_crossPageIPF, if4_GHInfo.sawNTBr)
450    XSDebug("[IF1][icacheReq] v=%d r=%d addr=%x\n", io.icacheReq.valid, io.icacheReq.ready, io.icacheReq.bits.addr)
451    XSDebug("[IF1][ghr] headPtr=%d shiftPtr=%d newPtr=%d ptr=%d\n", if1_histPtr, shiftPtr, newPtr, ptr)
452    XSDebug("[IF1][ghr] hist=%b\n", hist.asUInt)
453    XSDebug("[IF1][ghr] extHist=%b\n\n", extHist.asUInt)
454
455    XSDebug("[IF2][bp] redirect=%d taken=%d jmpIdx=%d hasNTBrs=%d target=%x saveHalfRVI=%d\n\n", if2_bp.redirect, if2_bp.taken, if2_bp.jmpIdx, if2_bp.hasNotTakenBrs, if2_bp.target, if2_bp.saveHalfRVI)
456    if2_GHInfo.debug
457
458    XSDebug("[IF3][icacheResp] v=%d r=%d pc=%x mask=%b\n", io.icacheResp.valid, io.icacheResp.ready, io.icacheResp.bits.pc, io.icacheResp.bits.mask)
459    XSDebug("[IF3][bp] redirect=%d taken=%d jmpIdx=%d hasNTBrs=%d target=%x saveHalfRVI=%d\n", if3_bp.redirect, if3_bp.taken, if3_bp.jmpIdx, if3_bp.hasNotTakenBrs, if3_bp.target, if3_bp.saveHalfRVI)
460    // XSDebug("[IF3][prevHalfInstr] v=%d redirect=%d fetchpc=%x idx=%d tgt=%x taken=%d instr=%x\n\n",
461    //   prev_half_valid, prev_half_redirect, prev_half_fetchpc, prev_half_idx, prev_half_tgt, prev_half_taken, prev_half_instr)
462    XSDebug("[IF3][    prevHalfInstr] v=%d taken=%d fetchpc=%x idx=%d pc=%x tgt=%x instr=%x ipf=%d\n",
463      prevHalfInstr.valid, prevHalfInstr.taken, prevHalfInstr.fetchpc, prevHalfInstr.idx, prevHalfInstr.pc, prevHalfInstr.target, prevHalfInstr.instr, prevHalfInstr.ipf)
464    XSDebug("[IF3][if3_prevHalfInstr] v=%d taken=%d fetchpc=%x idx=%d pc=%x tgt=%x instr=%x ipf=%d\n\n",
465      if3_prevHalfInstr.valid, if3_prevHalfInstr.taken, if3_prevHalfInstr.fetchpc, if3_prevHalfInstr.idx, if3_prevHalfInstr.pc, if3_prevHalfInstr.target, if3_prevHalfInstr.instr, if3_prevHalfInstr.ipf)
466    if3_GHInfo.debug
467
468    XSDebug("[IF4][predecode] mask=%b\n", if4_pd.mask)
469    XSDebug("[IF4][bp] redirect=%d taken=%d jmpIdx=%d hasNTBrs=%d target=%x saveHalfRVI=%d\n", if4_bp.redirect, if4_bp.taken, if4_bp.jmpIdx, if4_bp.hasNotTakenBrs, if4_bp.target, if4_bp.saveHalfRVI)
470    XSDebug(if4_pd.pd(if4_bp.jmpIdx).isJal && if4_bp.taken, "[IF4] cfi is jal!  instr=%x target=%x\n", if4_cfi_jal, if4_cfi_jal_tgt)
471    XSDebug("[IF4][if4_prevHalfInstr] v=%d taken=%d fetchpc=%x idx=%d pc=%x tgt=%x instr=%x ipf=%d\n",
472      if4_prevHalfInstr.valid, if4_prevHalfInstr.taken, if4_prevHalfInstr.fetchpc, if4_prevHalfInstr.idx, if4_prevHalfInstr.pc, if4_prevHalfInstr.target, if4_prevHalfInstr.instr, if4_prevHalfInstr.ipf)
473    if4_GHInfo.debug
474    XSDebug(io.fetchPacket.fire(), "[IF4][fetchPacket] v=%d r=%d mask=%b ipf=%d crossPageIPF=%d\n",
475      io.fetchPacket.valid, io.fetchPacket.ready, io.fetchPacket.bits.mask, io.fetchPacket.bits.ipf, io.fetchPacket.bits.crossPageIPFFix)
476    for (i <- 0 until PredictWidth) {
477      XSDebug(io.fetchPacket.fire(), "[IF4][fetchPacket] %b %x pc=%x pnpc=%x pd: rvc=%d brType=%b call=%d ret=%d\n",
478        io.fetchPacket.bits.mask(i),
479        io.fetchPacket.bits.instrs(i),
480        io.fetchPacket.bits.pc(i),
481        io.fetchPacket.bits.pnpc(i),
482        io.fetchPacket.bits.pd(i).isRVC,
483        io.fetchPacket.bits.pd(i).brType,
484        io.fetchPacket.bits.pd(i).isCall,
485        io.fetchPacket.bits.pd(i).isRet
486      )
487    }
488  }
489}