xref: /XiangShan/src/main/scala/xiangshan/frontend/IFU.scala (revision 51e45dbbf87325e45ff2af6ca86ed6c7eed04464)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.frontend
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import freechips.rocketchip.rocket.RVCDecoder
23import xiangshan._
24import xiangshan.cache.mmu._
25import xiangshan.frontend.icache._
26import utils._
27import utility._
28import xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
29import utility.ChiselDB
30
31trait HasInstrMMIOConst extends HasXSParameter with HasIFUConst{
32  def mmioBusWidth = 64
33  def mmioBusBytes = mmioBusWidth / 8
34  def maxInstrLen = 32
35}
36
37trait HasIFUConst extends HasXSParameter{
38  def addrAlign(addr: UInt, bytes: Int, highest: Int): UInt = Cat(addr(highest-1, log2Ceil(bytes)), 0.U(log2Ceil(bytes).W))
39  def fetchQueueSize = 2
40
41  def getBasicBlockIdx( pc: UInt, start:  UInt ): UInt = {
42    val byteOffset = pc - start
43    (byteOffset - instBytes.U)(log2Ceil(PredictWidth),instOffsetBits)
44  }
45}
46
47class IfuToFtqIO(implicit p:Parameters) extends XSBundle {
48  val pdWb = Valid(new PredecodeWritebackBundle)
49}
50
51class FtqInterface(implicit p: Parameters) extends XSBundle {
52  val fromFtq = Flipped(new FtqToIfuIO)
53  val toFtq   = new IfuToFtqIO
54}
55
56class UncacheInterface(implicit p: Parameters) extends XSBundle {
57  val fromUncache = Flipped(DecoupledIO(new InsUncacheResp))
58  val toUncache   = DecoupledIO( new InsUncacheReq )
59}
60
61class NewIFUIO(implicit p: Parameters) extends XSBundle {
62  val ftqInter        = new FtqInterface
63  val icacheInter     = Flipped(new IFUICacheIO)
64  val icacheStop      = Output(Bool())
65  val icachePerfInfo  = Input(new ICachePerfInfo)
66  val toIbuffer       = Decoupled(new FetchToIBuffer)
67  val uncacheInter   =  new UncacheInterface
68  val frontendTrigger = Flipped(new FrontendTdataDistributeIO)
69  val csrTriggerEnable = Input(Vec(4, Bool()))
70  val rob_commits = Flipped(Vec(CommitWidth, Valid(new RobCommitInfo)))
71  val iTLBInter       = new TlbRequestIO
72  val pmp             =   new ICachePMPBundle
73  val mmioCommitRead  = new mmioCommitRead
74}
75
76// record the situation in which fallThruAddr falls into
77// the middle of an RVI inst
78class LastHalfInfo(implicit p: Parameters) extends XSBundle {
79  val valid = Bool()
80  val middlePC = UInt(VAddrBits.W)
81  def matchThisBlock(startAddr: UInt) = valid && middlePC === startAddr
82}
83
84class IfuToPreDecode(implicit p: Parameters) extends XSBundle {
85  val data                =  if(HasCExtension) Vec(PredictWidth + 1, UInt(16.W)) else Vec(PredictWidth, UInt(32.W))
86  val frontendTrigger     = new FrontendTdataDistributeIO
87  val csrTriggerEnable    = Vec(4, Bool())
88  val pc                  = Vec(PredictWidth, UInt(VAddrBits.W))
89}
90
91
92class IfuToPredChecker(implicit p: Parameters) extends XSBundle {
93  val ftqOffset     = Valid(UInt(log2Ceil(PredictWidth).W))
94  val jumpOffset    = Vec(PredictWidth, UInt(XLEN.W))
95  val target        = UInt(VAddrBits.W)
96  val instrRange    = Vec(PredictWidth, Bool())
97  val instrValid    = Vec(PredictWidth, Bool())
98  val pds           = Vec(PredictWidth, new PreDecodeInfo)
99  val pc            = Vec(PredictWidth, UInt(VAddrBits.W))
100}
101
102class FetchToIBufferDB extends Bundle {
103  val start_addr = UInt(39.W)
104  val instr_count = UInt(32.W)
105  val exception = Bool()
106  val is_cache_hit = Bool()
107}
108
109class IfuWbToFtqDB extends Bundle {
110  val start_addr = UInt(39.W)
111  val is_miss_pred = Bool()
112  val miss_pred_offset = UInt(32.W)
113  val checkJalFault = Bool()
114  val checkRetFault = Bool()
115  val checkTargetFault = Bool()
116  val checkNotCFIFault = Bool()
117  val checkInvalidTaken = Bool()
118}
119
120class NewIFU(implicit p: Parameters) extends XSModule
121  with HasICacheParameters
122  with HasIFUConst
123  with HasPdConst
124  with HasCircularQueuePtrHelper
125  with HasPerfEvents
126{
127  val io = IO(new NewIFUIO)
128  val (toFtq, fromFtq)    = (io.ftqInter.toFtq, io.ftqInter.fromFtq)
129  val fromICache = io.icacheInter.resp
130  val (toUncache, fromUncache) = (io.uncacheInter.toUncache , io.uncacheInter.fromUncache)
131
132  def isCrossLineReq(start: UInt, end: UInt): Bool = start(blockOffBits) ^ end(blockOffBits)
133
134  def isLastInCacheline(addr: UInt): Bool = addr(blockOffBits - 1, 1) === 0.U
135
136  def numOfStage = 3
137  require(numOfStage > 1, "BPU numOfStage must be greater than 1")
138  val topdown_stages = RegInit(VecInit(Seq.fill(numOfStage)(0.U.asTypeOf(new FrontendTopDownBundle))))
139  dontTouch(topdown_stages)
140  // bubble events in IFU, only happen in stage 1
141  val icacheMissBubble = Wire(Bool())
142  val itlbMissBubble =Wire(Bool())
143
144  // only driven by clock, not valid-ready
145  topdown_stages(0) := fromFtq.req.bits.topdown_info
146  for (i <- 1 until numOfStage) {
147    topdown_stages(i) := topdown_stages(i - 1)
148  }
149  when (icacheMissBubble) {
150    topdown_stages(1).reasons(TopDownCounters.ICacheMissBubble.id) := true.B
151  }
152  when (itlbMissBubble) {
153    topdown_stages(1).reasons(TopDownCounters.ITLBMissBubble.id) := true.B
154  }
155  io.toIbuffer.bits.topdown_info := topdown_stages(numOfStage - 1)
156  when (fromFtq.topdown_redirect.valid) {
157    // only redirect from backend, IFU redirect itself is handled elsewhere
158    when (fromFtq.topdown_redirect.bits.debugIsCtrl) {
159      /*
160      for (i <- 0 until numOfStage) {
161        topdown_stages(i).reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
162      }
163      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
164      */
165      when (fromFtq.topdown_redirect.bits.ControlBTBMissBubble) {
166        for (i <- 0 until numOfStage) {
167          topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
168        }
169        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
170      } .elsewhen (fromFtq.topdown_redirect.bits.TAGEMissBubble) {
171        for (i <- 0 until numOfStage) {
172          topdown_stages(i).reasons(TopDownCounters.TAGEMissBubble.id) := true.B
173        }
174        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
175      } .elsewhen (fromFtq.topdown_redirect.bits.SCMissBubble) {
176        for (i <- 0 until numOfStage) {
177          topdown_stages(i).reasons(TopDownCounters.SCMissBubble.id) := true.B
178        }
179        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.SCMissBubble.id) := true.B
180      } .elsewhen (fromFtq.topdown_redirect.bits.ITTAGEMissBubble) {
181        for (i <- 0 until numOfStage) {
182          topdown_stages(i).reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
183        }
184        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
185      } .elsewhen (fromFtq.topdown_redirect.bits.RASMissBubble) {
186        for (i <- 0 until numOfStage) {
187          topdown_stages(i).reasons(TopDownCounters.RASMissBubble.id) := true.B
188        }
189        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.RASMissBubble.id) := true.B
190      }
191    } .elsewhen (fromFtq.topdown_redirect.bits.debugIsMemVio) {
192      for (i <- 0 until numOfStage) {
193        topdown_stages(i).reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
194      }
195      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
196    } .otherwise {
197      for (i <- 0 until numOfStage) {
198        topdown_stages(i).reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
199      }
200      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
201    }
202  }
203
204  class TlbExept(implicit p: Parameters) extends XSBundle{
205    val pageFault = Bool()
206    val accessFault = Bool()
207    val mmio = Bool()
208  }
209
210  val preDecoders       = Seq.fill(4){ Module(new PreDecode) }
211
212  val predChecker     = Module(new PredChecker)
213  val frontendTrigger = Module(new FrontendTrigger)
214  val (checkerIn, checkerOutStage1, checkerOutStage2)         = (predChecker.io.in, predChecker.io.out.stage1Out,predChecker.io.out.stage2Out)
215
216  io.iTLBInter.req_kill := false.B
217  io.iTLBInter.resp.ready := true.B
218
219  /**
220    ******************************************************************************
221    * IFU Stage 0
222    * - send cacheline fetch request to ICacheMainPipe
223    ******************************************************************************
224    */
225
226  val f0_valid                             = fromFtq.req.valid
227  val f0_ftq_req                           = fromFtq.req.bits
228  val f0_doubleLine                        = fromFtq.req.bits.crossCacheline
229  val f0_vSetIdx                           = VecInit(get_idx((f0_ftq_req.startAddr)), get_idx(f0_ftq_req.nextlineStart))
230  val f0_fire                              = fromFtq.req.fire
231
232  val f0_flush, f1_flush, f2_flush, f3_flush = WireInit(false.B)
233  val from_bpu_f0_flush, from_bpu_f1_flush, from_bpu_f2_flush, from_bpu_f3_flush = WireInit(false.B)
234
235  from_bpu_f0_flush := fromFtq.flushFromBpu.shouldFlushByStage2(f0_ftq_req.ftqIdx) ||
236                       fromFtq.flushFromBpu.shouldFlushByStage3(f0_ftq_req.ftqIdx)
237
238  val wb_redirect , mmio_redirect,  backend_redirect= WireInit(false.B)
239  val f3_wb_not_flush = WireInit(false.B)
240
241  backend_redirect := fromFtq.redirect.valid
242  f3_flush := backend_redirect || (wb_redirect && !f3_wb_not_flush)
243  f2_flush := backend_redirect || mmio_redirect || wb_redirect
244  f1_flush := f2_flush || from_bpu_f1_flush
245  f0_flush := f1_flush || from_bpu_f0_flush
246
247  val f1_ready, f2_ready, f3_ready         = WireInit(false.B)
248
249  fromFtq.req.ready := f1_ready && io.icacheInter.icacheReady
250
251
252  when (wb_redirect) {
253    when (f3_wb_not_flush) {
254      topdown_stages(2).reasons(TopDownCounters.BTBMissBubble.id) := true.B
255    }
256    for (i <- 0 until numOfStage - 1) {
257      topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
258    }
259  }
260
261  /** <PERF> f0 fetch bubble */
262
263  XSPerfAccumulate("fetch_bubble_ftq_not_valid",   !fromFtq.req.valid && fromFtq.req.ready  )
264  // XSPerfAccumulate("fetch_bubble_pipe_stall",    f0_valid && toICache(0).ready && toICache(1).ready && !f1_ready )
265  // XSPerfAccumulate("fetch_bubble_icache_0_busy",   f0_valid && !toICache(0).ready  )
266  // XSPerfAccumulate("fetch_bubble_icache_1_busy",   f0_valid && !toICache(1).ready  )
267  XSPerfAccumulate("fetch_flush_backend_redirect",   backend_redirect  )
268  XSPerfAccumulate("fetch_flush_wb_redirect",    wb_redirect  )
269  XSPerfAccumulate("fetch_flush_bpu_f1_flush",   from_bpu_f1_flush  )
270  XSPerfAccumulate("fetch_flush_bpu_f0_flush",   from_bpu_f0_flush  )
271
272
273  /**
274    ******************************************************************************
275    * IFU Stage 1
276    * - calculate pc/half_pc/cut_ptr for every instruction
277    ******************************************************************************
278    */
279
280  val f1_valid      = RegInit(false.B)
281  val f1_ftq_req    = RegEnable(f0_ftq_req,    f0_fire)
282  // val f1_situation  = RegEnable(f0_situation,  f0_fire)
283  val f1_doubleLine = RegEnable(f0_doubleLine, f0_fire)
284  val f1_vSetIdx    = RegEnable(f0_vSetIdx,    f0_fire)
285  val f1_fire       = f1_valid && f2_ready
286
287  f1_ready := f1_fire || !f1_valid
288
289  from_bpu_f1_flush := fromFtq.flushFromBpu.shouldFlushByStage3(f1_ftq_req.ftqIdx) && f1_valid
290  // from_bpu_f1_flush := false.B
291
292  when(f1_flush)                  {f1_valid  := false.B}
293  .elsewhen(f0_fire && !f0_flush) {f1_valid  := true.B}
294  .elsewhen(f1_fire)              {f1_valid  := false.B}
295
296  val f1_pc                 = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + (i * 2).U))
297  val f1_half_snpc          = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + ((i+2) * 2).U))
298  val f1_cut_ptr            = if(HasCExtension)  VecInit((0 until PredictWidth + 1).map(i =>  Cat(0.U(1.W), f1_ftq_req.startAddr(blockOffBits-1, 1)) + i.U ))
299                                  else           VecInit((0 until PredictWidth).map(i =>     Cat(0.U(1.W), f1_ftq_req.startAddr(blockOffBits-1, 2)) + i.U ))
300
301  /**
302    ******************************************************************************
303    * IFU Stage 2
304    * - icache response data (latched for pipeline stop)
305    * - generate exceprion bits for every instruciton (page fault/access fault/mmio)
306    * - generate predicted instruction range (1 means this instruciton is in this fetch packet)
307    * - cut data from cachlines to packet instruction code
308    * - instruction predecode and RVC expand
309    ******************************************************************************
310    */
311
312  val icacheRespAllValid = WireInit(false.B)
313
314  val f2_valid      = RegInit(false.B)
315  val f2_ftq_req    = RegEnable(f1_ftq_req,    f1_fire)
316  // val f2_situation  = RegEnable(f1_situation,  f1_fire)
317  val f2_doubleLine = RegEnable(f1_doubleLine, f1_fire)
318  val f2_vSetIdx    = RegEnable(f1_vSetIdx,    f1_fire)
319  val f2_fire       = f2_valid && f3_ready && icacheRespAllValid
320
321  f2_ready := f2_fire || !f2_valid
322  //TODO: addr compare may be timing critical
323  val f2_icache_all_resp_wire       =  fromICache(0).valid && (fromICache(0).bits.vaddr ===  f2_ftq_req.startAddr) && ((fromICache(1).valid && (fromICache(1).bits.vaddr ===  f2_ftq_req.nextlineStart)) || !f2_doubleLine)
324  val f2_icache_all_resp_reg        = RegInit(false.B)
325
326  icacheRespAllValid := f2_icache_all_resp_reg || f2_icache_all_resp_wire
327
328  icacheMissBubble := io.icacheInter.topdownIcacheMiss
329  itlbMissBubble   := io.icacheInter.topdownItlbMiss
330
331  io.icacheStop := !f3_ready
332
333  when(f2_flush)                                              {f2_icache_all_resp_reg := false.B}
334  .elsewhen(f2_valid && f2_icache_all_resp_wire && !f3_ready) {f2_icache_all_resp_reg := true.B}
335  .elsewhen(f2_fire && f2_icache_all_resp_reg)                {f2_icache_all_resp_reg := false.B}
336
337  when(f2_flush)                  {f2_valid := false.B}
338  .elsewhen(f1_fire && !f1_flush) {f2_valid := true.B }
339  .elsewhen(f2_fire)              {f2_valid := false.B}
340
341  // val f2_cache_response_data = ResultHoldBypass(valid = f2_icache_all_resp_wire, data = VecInit(fromICache.map(_.bits.readData)))
342  val f2_cache_response_reg_data  = VecInit(fromICache.map(_.bits.registerData))
343  val f2_cache_response_sram_data = VecInit(fromICache.map(_.bits.sramData))
344  val f2_cache_response_select    = VecInit(fromICache.map(_.bits.select))
345
346
347  val f2_except_pf    = VecInit((0 until PortNumber).map(i => fromICache(i).bits.tlbExcp.pageFault))
348  val f2_except_af    = VecInit((0 until PortNumber).map(i => fromICache(i).bits.tlbExcp.accessFault))
349  val f2_mmio         = fromICache(0).bits.tlbExcp.mmio && !fromICache(0).bits.tlbExcp.accessFault &&
350                                                           !fromICache(0).bits.tlbExcp.pageFault
351
352  val f2_pc               = RegEnable(f1_pc,  f1_fire)
353  val f2_half_snpc        = RegEnable(f1_half_snpc,  f1_fire)
354  val f2_cut_ptr          = RegEnable(f1_cut_ptr,  f1_fire)
355
356  val f2_resend_vaddr     = RegEnable(f1_ftq_req.startAddr + 2.U,  f1_fire)
357
358  def isNextLine(pc: UInt, startAddr: UInt) = {
359    startAddr(blockOffBits) ^ pc(blockOffBits)
360  }
361
362  def isLastInLine(pc: UInt) = {
363    pc(blockOffBits - 1, 0) === "b111110".U
364  }
365
366  val f2_foldpc = VecInit(f2_pc.map(i => XORFold(i(VAddrBits-1,1), MemPredPCWidth)))
367  val f2_jump_range = Fill(PredictWidth, !f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~f2_ftq_req.ftqOffset.bits
368  val f2_ftr_range  = Fill(PredictWidth,  f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~getBasicBlockIdx(f2_ftq_req.nextStartAddr, f2_ftq_req.startAddr)
369  val f2_instr_range = f2_jump_range & f2_ftr_range
370  val f2_pf_vec = VecInit((0 until PredictWidth).map(i => (!isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_except_pf(0)   ||  isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine &&  f2_except_pf(1))))
371  val f2_af_vec = VecInit((0 until PredictWidth).map(i => (!isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_except_af(0)   ||  isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine && f2_except_af(1))))
372
373  val f2_paddrs       = VecInit((0 until PortNumber).map(i => fromICache(i).bits.paddr))
374  val f2_perf_info    = io.icachePerfInfo
375
376  def cut(cacheline: UInt, cutPtr: Vec[UInt]) : Vec[UInt] ={
377    require(HasCExtension)
378    // if(HasCExtension){
379      val partCacheline = cacheline((blockBytes * 8 * 2 * 3) / 4 - 1, 0)
380      val result   = Wire(Vec(PredictWidth + 1, UInt(16.W)))
381      val dataVec  = cacheline.asTypeOf(Vec(blockBytes * 3 /4, UInt(16.W))) //47 16-bit data vector
382      (0 until PredictWidth + 1).foreach( i =>
383        result(i) := dataVec(cutPtr(i)) //the max ptr is 3*blockBytes/4-1
384      )
385      result
386    // } else {
387    //   val result   = Wire(Vec(PredictWidth, UInt(32.W)) )
388    //   val dataVec  = cacheline.asTypeOf(Vec(blockBytes * 2/ 4, UInt(32.W)))
389    //   (0 until PredictWidth).foreach( i =>
390    //     result(i) := dataVec(cutPtr(i))
391    //   )
392    //   result
393    // }
394  }
395
396  val f2_data_2_cacheline =  Wire(Vec(4, UInt((2 * blockBits).W)))
397  f2_data_2_cacheline(0) := Cat(f2_cache_response_reg_data(1) , f2_cache_response_reg_data(0))
398  f2_data_2_cacheline(1) := Cat(f2_cache_response_reg_data(1) , f2_cache_response_sram_data(0))
399  f2_data_2_cacheline(2) := Cat(f2_cache_response_sram_data(1) , f2_cache_response_reg_data(0))
400  f2_data_2_cacheline(3) := Cat(f2_cache_response_sram_data(1) , f2_cache_response_sram_data(0))
401
402  val f2_cut_data   = VecInit(f2_data_2_cacheline.map(data => cut(  data, f2_cut_ptr )))
403
404  val f2_predecod_ptr = Wire(UInt(2.W))
405  f2_predecod_ptr := Cat(f2_cache_response_select(1),f2_cache_response_select(0))
406
407  /** predecode (include RVC expander) */
408  // preDecoderRegIn.data := f2_reg_cut_data
409  // preDecoderRegInIn.frontendTrigger := io.frontendTrigger
410  // preDecoderRegInIn.csrTriggerEnable := io.csrTriggerEnable
411  // preDecoderRegIn.pc  := f2_pc
412
413  val preDecoderOut = Mux1H(UIntToOH(f2_predecod_ptr), preDecoders.map(_.io.out))
414  for(i <- 0 until 4){
415    val preDecoderIn  = preDecoders(i).io.in
416    preDecoderIn.data := f2_cut_data(i)
417    preDecoderIn.frontendTrigger := io.frontendTrigger
418    preDecoderIn.csrTriggerEnable := io.csrTriggerEnable
419    preDecoderIn.pc  := f2_pc
420  }
421
422  //val f2_expd_instr     = preDecoderOut.expInstr
423  val f2_instr          = preDecoderOut.instr
424  val f2_pd             = preDecoderOut.pd
425  val f2_jump_offset    = preDecoderOut.jumpOffset
426  val f2_hasHalfValid   =  preDecoderOut.hasHalfValid
427  val f2_crossPageFault = VecInit((0 until PredictWidth).map(i => isLastInLine(f2_pc(i)) && !f2_except_pf(0) && f2_doubleLine &&  f2_except_pf(1) && !f2_pd(i).isRVC ))
428
429  XSPerfAccumulate("fetch_bubble_icache_not_resp",   f2_valid && !icacheRespAllValid )
430
431
432  /**
433    ******************************************************************************
434    * IFU Stage 3
435    * - handle MMIO instruciton
436    *  -send request to Uncache fetch Unit
437    *  -every packet include 1 MMIO instruction
438    *  -MMIO instructions will stop fetch pipeline until commiting from RoB
439    *  -flush to snpc (send ifu_redirect to Ftq)
440    * - Ibuffer enqueue
441    * - check predict result in Frontend (jalFault/retFault/notCFIFault/invalidTakenFault/targetFault)
442    * - handle last half RVI instruction
443    ******************************************************************************
444    */
445
446  val f3_valid          = RegInit(false.B)
447  val f3_ftq_req        = RegEnable(f2_ftq_req,    f2_fire)
448  // val f3_situation      = RegEnable(f2_situation,  f2_fire)
449  val f3_doubleLine     = RegEnable(f2_doubleLine, f2_fire)
450  val f3_fire           = io.toIbuffer.fire
451
452  f3_ready := f3_fire || !f3_valid
453
454  val f3_cut_data       = RegEnable(f2_cut_data(f2_predecod_ptr), f2_fire)
455
456  val f3_except_pf      = RegEnable(f2_except_pf,  f2_fire)
457  val f3_except_af      = RegEnable(f2_except_af,  f2_fire)
458  val f3_mmio           = RegEnable(f2_mmio   ,  f2_fire)
459
460  //val f3_expd_instr     = RegEnable(f2_expd_instr,  f2_fire)
461  val f3_instr          = RegEnable(f2_instr, f2_fire)
462  val f3_expd_instr     = VecInit((0 until PredictWidth).map{ i =>
463    val expander       = Module(new RVCExpander)
464    expander.io.in := f3_instr(i)
465    expander.io.out.bits
466  })
467
468  val f3_pd_wire        = RegEnable(f2_pd,          f2_fire)
469  val f3_pd             = WireInit(f3_pd_wire)
470  val f3_jump_offset    = RegEnable(f2_jump_offset, f2_fire)
471  val f3_af_vec         = RegEnable(f2_af_vec,      f2_fire)
472  val f3_pf_vec         = RegEnable(f2_pf_vec ,     f2_fire)
473  val f3_pc             = RegEnable(f2_pc,          f2_fire)
474  val f3_half_snpc      = RegEnable(f2_half_snpc,   f2_fire)
475  val f3_instr_range    = RegEnable(f2_instr_range, f2_fire)
476  val f3_foldpc         = RegEnable(f2_foldpc,      f2_fire)
477  val f3_crossPageFault = RegEnable(f2_crossPageFault,      f2_fire)
478  val f3_hasHalfValid   = RegEnable(f2_hasHalfValid,      f2_fire)
479  val f3_except         = VecInit((0 until 2).map{i => f3_except_pf(i) || f3_except_af(i)})
480  val f3_has_except     = f3_valid && (f3_except_af.reduce(_||_) || f3_except_pf.reduce(_||_))
481  val f3_pAddrs   = RegEnable(f2_paddrs,  f2_fire)
482  val f3_resend_vaddr   = RegEnable(f2_resend_vaddr,       f2_fire)
483
484  // Expand 1 bit to prevent overflow when assert
485  val f3_ftq_req_startAddr      = Cat(0.U(1.W), f3_ftq_req.startAddr)
486  val f3_ftq_req_nextStartAddr  = Cat(0.U(1.W), f3_ftq_req.nextStartAddr)
487  // brType, isCall and isRet generation is delayed to f3 stage
488  val f3Predecoder = Module(new F3Predecoder)
489
490  f3Predecoder.io.in.instr := f3_instr
491
492  f3_pd.zipWithIndex.map{ case (pd,i) =>
493    pd.brType := f3Predecoder.io.out.pd(i).brType
494    pd.isCall := f3Predecoder.io.out.pd(i).isCall
495    pd.isRet  := f3Predecoder.io.out.pd(i).isRet
496  }
497
498  val f3PdDiff = f3_pd_wire.zip(f3_pd).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_)
499  XSError(f3_valid && f3PdDiff, "f3 pd diff")
500
501  when(f3_valid && !f3_ftq_req.ftqOffset.valid){
502    assert(f3_ftq_req_startAddr + (2*PredictWidth).U >= f3_ftq_req_nextStartAddr, s"More tha ${2*PredictWidth} Bytes fetch is not allowed!")
503  }
504
505  /*** MMIO State Machine***/
506  val f3_mmio_data    = Reg(Vec(2, UInt(16.W)))
507  val mmio_is_RVC     = RegInit(false.B)
508  val mmio_resend_addr =RegInit(0.U(PAddrBits.W))
509  val mmio_resend_af  = RegInit(false.B)
510  val mmio_resend_pf  = RegInit(false.B)
511
512  //last instuction finish
513  val is_first_instr = RegInit(true.B)
514  io.mmioCommitRead.mmioFtqPtr := RegNext(f3_ftq_req.ftqIdx + 1.U)
515
516  val m_idle :: m_waitLastCmt:: m_sendReq :: m_waitResp :: m_sendTLB :: m_tlbResp :: m_sendPMP :: m_resendReq :: m_waitResendResp :: m_waitCommit :: m_commited :: Nil = Enum(11)
517  val mmio_state = RegInit(m_idle)
518
519  val f3_req_is_mmio     = f3_mmio && f3_valid
520  val mmio_commit = VecInit(io.rob_commits.map{commit => commit.valid && commit.bits.ftqIdx === f3_ftq_req.ftqIdx &&  commit.bits.ftqOffset === 0.U}).asUInt.orR
521  val f3_mmio_req_commit = f3_req_is_mmio && mmio_state === m_commited
522
523  val f3_mmio_to_commit =  f3_req_is_mmio && mmio_state === m_waitCommit
524  val f3_mmio_to_commit_next = RegNext(f3_mmio_to_commit)
525  val f3_mmio_can_go      = f3_mmio_to_commit && !f3_mmio_to_commit_next
526
527  val fromFtqRedirectReg    = RegNext(fromFtq.redirect,init = 0.U.asTypeOf(fromFtq.redirect))
528  val mmioF3Flush           = RegNext(f3_flush,init = false.B)
529  val f3_ftq_flush_self     = fromFtqRedirectReg.valid && RedirectLevel.flushItself(fromFtqRedirectReg.bits.level)
530  val f3_ftq_flush_by_older = fromFtqRedirectReg.valid && isBefore(fromFtqRedirectReg.bits.ftqIdx, f3_ftq_req.ftqIdx)
531
532  val f3_need_not_flush = f3_req_is_mmio && fromFtqRedirectReg.valid && !f3_ftq_flush_self && !f3_ftq_flush_by_older
533
534  when(is_first_instr && mmio_commit){
535    is_first_instr := false.B
536  }
537
538  when(f3_flush && !f3_req_is_mmio)                                                 {f3_valid := false.B}
539  .elsewhen(mmioF3Flush && f3_req_is_mmio && !f3_need_not_flush)                    {f3_valid := false.B}
540  .elsewhen(f2_fire && !f2_flush )                                                  {f3_valid := true.B }
541  .elsewhen(io.toIbuffer.fire && !f3_req_is_mmio)                                   {f3_valid := false.B}
542  .elsewhen{f3_req_is_mmio && f3_mmio_req_commit}                                   {f3_valid := false.B}
543
544  val f3_mmio_use_seq_pc = RegInit(false.B)
545
546  val (redirect_ftqIdx, redirect_ftqOffset)  = (fromFtqRedirectReg.bits.ftqIdx,fromFtqRedirectReg.bits.ftqOffset)
547  val redirect_mmio_req = fromFtqRedirectReg.valid && redirect_ftqIdx === f3_ftq_req.ftqIdx && redirect_ftqOffset === 0.U
548
549  when(RegNext(f2_fire && !f2_flush) && f3_req_is_mmio)        { f3_mmio_use_seq_pc := true.B  }
550  .elsewhen(redirect_mmio_req)                                 { f3_mmio_use_seq_pc := false.B }
551
552  f3_ready := Mux(f3_req_is_mmio, io.toIbuffer.ready && f3_mmio_req_commit || !f3_valid , io.toIbuffer.ready || !f3_valid)
553
554  // mmio state machine
555  switch(mmio_state){
556    is(m_idle){
557      when(f3_req_is_mmio){
558        mmio_state :=  m_waitLastCmt
559      }
560    }
561
562    is(m_waitLastCmt){
563      when(is_first_instr){
564        mmio_state := m_sendReq
565      }.otherwise{
566        mmio_state := Mux(io.mmioCommitRead.mmioLastCommit, m_sendReq, m_waitLastCmt)
567      }
568    }
569
570    is(m_sendReq){
571      mmio_state :=  Mux(toUncache.fire, m_waitResp, m_sendReq )
572    }
573
574    is(m_waitResp){
575      when(fromUncache.fire){
576          val isRVC =  fromUncache.bits.data(1,0) =/= 3.U
577          val needResend = !isRVC && f3_pAddrs(0)(2,1) === 3.U
578          mmio_state :=  Mux(needResend, m_sendTLB , m_waitCommit)
579
580          mmio_is_RVC := isRVC
581          f3_mmio_data(0)   :=  fromUncache.bits.data(15,0)
582          f3_mmio_data(1)   :=  fromUncache.bits.data(31,16)
583      }
584    }
585
586    is(m_sendTLB){
587      when( io.iTLBInter.req.valid && !io.iTLBInter.resp.bits.miss ){
588        mmio_state :=  m_tlbResp
589      }
590    }
591
592    is(m_tlbResp){
593      val tlbExept = io.iTLBInter.resp.bits.excp(0).pf.instr ||
594                     io.iTLBInter.resp.bits.excp(0).af.instr
595      mmio_state :=  Mux(tlbExept,m_waitCommit,m_sendPMP)
596      mmio_resend_addr := io.iTLBInter.resp.bits.paddr(0)
597      mmio_resend_af := mmio_resend_af || io.iTLBInter.resp.bits.excp(0).af.instr
598      mmio_resend_pf := mmio_resend_pf || io.iTLBInter.resp.bits.excp(0).pf.instr
599    }
600
601    is(m_sendPMP){
602      val pmpExcpAF = io.pmp.resp.instr || !io.pmp.resp.mmio
603      mmio_state :=  Mux(pmpExcpAF, m_waitCommit , m_resendReq)
604      mmio_resend_af := pmpExcpAF
605    }
606
607    is(m_resendReq){
608      mmio_state :=  Mux(toUncache.fire, m_waitResendResp, m_resendReq )
609    }
610
611    is(m_waitResendResp){
612      when(fromUncache.fire){
613          mmio_state :=  m_waitCommit
614          f3_mmio_data(1)   :=  fromUncache.bits.data(15,0)
615      }
616    }
617
618    is(m_waitCommit){
619      when(mmio_commit){
620          mmio_state  :=  m_commited
621      }
622    }
623
624    //normal mmio instruction
625    is(m_commited){
626      mmio_state := m_idle
627      mmio_is_RVC := false.B
628      mmio_resend_addr := 0.U
629    }
630  }
631
632  //exception or flush by older branch prediction
633  when(f3_ftq_flush_self || f3_ftq_flush_by_older)  {
634    mmio_state := m_idle
635    mmio_is_RVC := false.B
636    mmio_resend_addr := 0.U
637    mmio_resend_af := false.B
638    f3_mmio_data.map(_ := 0.U)
639  }
640
641  toUncache.valid     :=  ((mmio_state === m_sendReq) || (mmio_state === m_resendReq)) && f3_req_is_mmio
642  toUncache.bits.addr := Mux((mmio_state === m_resendReq), mmio_resend_addr, f3_pAddrs(0))
643  fromUncache.ready   := true.B
644
645  io.iTLBInter.req.valid         := (mmio_state === m_sendTLB) && f3_req_is_mmio
646  io.iTLBInter.req.bits.size     := 3.U
647  io.iTLBInter.req.bits.vaddr    := f3_resend_vaddr
648  io.iTLBInter.req.bits.debug.pc := f3_resend_vaddr
649
650  io.iTLBInter.req.bits.kill                := false.B // IFU use itlb for mmio, doesn't need sync, set it to false
651  io.iTLBInter.req.bits.cmd                 := TlbCmd.exec
652  io.iTLBInter.req.bits.memidx              := DontCare
653  io.iTLBInter.req.bits.debug.robIdx        := DontCare
654  io.iTLBInter.req.bits.no_translate        := false.B
655  io.iTLBInter.req.bits.debug.isFirstIssue  := DontCare
656
657  io.pmp.req.valid := (mmio_state === m_sendPMP) && f3_req_is_mmio
658  io.pmp.req.bits.addr  := mmio_resend_addr
659  io.pmp.req.bits.size  := 3.U
660  io.pmp.req.bits.cmd   := TlbCmd.exec
661
662  val f3_lastHalf       = RegInit(0.U.asTypeOf(new LastHalfInfo))
663
664  val f3_predecode_range = VecInit(preDecoderOut.pd.map(inst => inst.valid)).asUInt
665  val f3_mmio_range      = VecInit((0 until PredictWidth).map(i => if(i ==0) true.B else false.B))
666  val f3_instr_valid     = Wire(Vec(PredictWidth, Bool()))
667
668  /*** prediction result check   ***/
669  checkerIn.ftqOffset   := f3_ftq_req.ftqOffset
670  checkerIn.jumpOffset  := f3_jump_offset
671  checkerIn.target      := f3_ftq_req.nextStartAddr
672  checkerIn.instrRange  := f3_instr_range.asTypeOf(Vec(PredictWidth, Bool()))
673  checkerIn.instrValid  := f3_instr_valid.asTypeOf(Vec(PredictWidth, Bool()))
674  checkerIn.pds         := f3_pd
675  checkerIn.pc          := f3_pc
676
677  /*** handle half RVI in the last 2 Bytes  ***/
678
679  def hasLastHalf(idx: UInt) = {
680    //!f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(idx) && !checkerOutStage2.fixedMissPred(idx) && ! f3_req_is_mmio
681    !f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(idx) && ! f3_req_is_mmio
682  }
683
684  val f3_last_validIdx       = ParallelPosteriorityEncoder(checkerOutStage1.fixedRange)
685
686  val f3_hasLastHalf         = hasLastHalf((PredictWidth - 1).U)
687  val f3_false_lastHalf      = hasLastHalf(f3_last_validIdx)
688  val f3_false_snpc          = f3_half_snpc(f3_last_validIdx)
689
690  val f3_lastHalf_mask    = VecInit((0 until PredictWidth).map( i => if(i ==0) false.B else true.B )).asUInt
691  val f3_lastHalf_disable = RegInit(false.B)
692
693  when(f3_flush || (f3_fire && f3_lastHalf_disable)){
694    f3_lastHalf_disable := false.B
695  }
696
697  when (f3_flush) {
698    f3_lastHalf.valid := false.B
699  }.elsewhen (f3_fire) {
700    f3_lastHalf.valid := f3_hasLastHalf && !f3_lastHalf_disable
701    f3_lastHalf.middlePC := f3_ftq_req.nextStartAddr
702  }
703
704  f3_instr_valid := Mux(f3_lastHalf.valid,f3_hasHalfValid ,VecInit(f3_pd.map(inst => inst.valid)))
705
706  /*** frontend Trigger  ***/
707  frontendTrigger.io.pds  := f3_pd
708  frontendTrigger.io.pc   := f3_pc
709  frontendTrigger.io.data   := f3_cut_data
710
711  frontendTrigger.io.frontendTrigger  := io.frontendTrigger
712  frontendTrigger.io.csrTriggerEnable := io.csrTriggerEnable
713
714  val f3_triggered = frontendTrigger.io.triggered
715
716  /*** send to Ibuffer  ***/
717
718  io.toIbuffer.valid            := f3_valid && (!f3_req_is_mmio || f3_mmio_can_go) && !f3_flush
719  io.toIbuffer.bits.instrs      := f3_expd_instr
720  io.toIbuffer.bits.valid       := f3_instr_valid.asUInt
721  io.toIbuffer.bits.enqEnable   := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt
722  io.toIbuffer.bits.pd          := f3_pd
723  io.toIbuffer.bits.ftqPtr      := f3_ftq_req.ftqIdx
724  io.toIbuffer.bits.pc          := f3_pc
725  io.toIbuffer.bits.ftqOffset.zipWithIndex.map{case(a, i) => a.bits := i.U; a.valid := checkerOutStage1.fixedTaken(i) && !f3_req_is_mmio}
726  io.toIbuffer.bits.foldpc      := f3_foldpc
727  io.toIbuffer.bits.ipf         := VecInit(f3_pf_vec.zip(f3_crossPageFault).map{case (pf, crossPF) => pf || crossPF})
728  io.toIbuffer.bits.acf         := f3_af_vec
729  io.toIbuffer.bits.crossPageIPFFix := f3_crossPageFault
730  io.toIbuffer.bits.triggered   := f3_triggered
731
732  when(f3_lastHalf.valid){
733    io.toIbuffer.bits.enqEnable := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt & f3_lastHalf_mask
734    io.toIbuffer.bits.valid     := f3_lastHalf_mask & f3_instr_valid.asUInt
735  }
736
737
738
739  //Write back to Ftq
740  val f3_cache_fetch = f3_valid && !(f2_fire && !f2_flush)
741  val finishFetchMaskReg = RegNext(f3_cache_fetch)
742
743  val mmioFlushWb = Wire(Valid(new PredecodeWritebackBundle))
744  val f3_mmio_missOffset = Wire(ValidUndirectioned(UInt(log2Ceil(PredictWidth).W)))
745  f3_mmio_missOffset.valid := f3_req_is_mmio
746  f3_mmio_missOffset.bits  := 0.U
747
748  mmioFlushWb.valid           := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire)  && f3_mmio_use_seq_pc)
749  mmioFlushWb.bits.pc         := f3_pc
750  mmioFlushWb.bits.pd         := f3_pd
751  mmioFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid :=  f3_mmio_range(i)}
752  mmioFlushWb.bits.ftqIdx     := f3_ftq_req.ftqIdx
753  mmioFlushWb.bits.ftqOffset  := f3_ftq_req.ftqOffset.bits
754  mmioFlushWb.bits.misOffset  := f3_mmio_missOffset
755  mmioFlushWb.bits.cfiOffset  := DontCare
756  mmioFlushWb.bits.target     := Mux(mmio_is_RVC, f3_ftq_req.startAddr + 2.U , f3_ftq_req.startAddr + 4.U)
757  mmioFlushWb.bits.jalTarget  := DontCare
758  mmioFlushWb.bits.instrRange := f3_mmio_range
759
760  /** external predecode for MMIO instruction */
761  when(f3_req_is_mmio){
762    val inst  = Cat(f3_mmio_data(1), f3_mmio_data(0))
763    val currentIsRVC   = isRVC(inst)
764
765    val brType::isCall::isRet::Nil = brInfo(inst)
766    val jalOffset = jal_offset(inst, currentIsRVC)
767    val brOffset  = br_offset(inst, currentIsRVC)
768
769    io.toIbuffer.bits.instrs (0) := new RVCDecoder(inst, XLEN, true).decode.bits
770
771
772    io.toIbuffer.bits.pd(0).valid   := true.B
773    io.toIbuffer.bits.pd(0).isRVC   := currentIsRVC
774    io.toIbuffer.bits.pd(0).brType  := brType
775    io.toIbuffer.bits.pd(0).isCall  := isCall
776    io.toIbuffer.bits.pd(0).isRet   := isRet
777
778    io.toIbuffer.bits.acf(0) := mmio_resend_af
779    io.toIbuffer.bits.ipf(0) := mmio_resend_pf
780    io.toIbuffer.bits.crossPageIPFFix(0) := mmio_resend_pf
781
782    io.toIbuffer.bits.enqEnable   := f3_mmio_range.asUInt
783
784    mmioFlushWb.bits.pd(0).valid   := true.B
785    mmioFlushWb.bits.pd(0).isRVC   := currentIsRVC
786    mmioFlushWb.bits.pd(0).brType  := brType
787    mmioFlushWb.bits.pd(0).isCall  := isCall
788    mmioFlushWb.bits.pd(0).isRet   := isRet
789  }
790
791  mmio_redirect := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire)  && f3_mmio_use_seq_pc)
792
793  XSPerfAccumulate("fetch_bubble_ibuffer_not_ready",   io.toIbuffer.valid && !io.toIbuffer.ready )
794
795
796  /**
797    ******************************************************************************
798    * IFU Write Back Stage
799    * - write back predecode information to Ftq to update
800    * - redirect if found fault prediction
801    * - redirect if has false hit last half (last PC is not start + 32 Bytes, but in the midle of an notCFI RVI instruction)
802    ******************************************************************************
803    */
804
805  val wb_valid          = RegNext(RegNext(f2_fire && !f2_flush) && !f3_req_is_mmio && !f3_flush)
806  val wb_ftq_req        = RegNext(f3_ftq_req)
807
808  val wb_check_result_stage1   = RegNext(checkerOutStage1)
809  val wb_check_result_stage2   = checkerOutStage2
810  val wb_instr_range    = RegNext(io.toIbuffer.bits.enqEnable)
811  val wb_pc             = RegNext(f3_pc)
812  val wb_pd             = RegNext(f3_pd)
813  val wb_instr_valid    = RegNext(f3_instr_valid)
814
815  /* false hit lastHalf */
816  val wb_lastIdx        = RegNext(f3_last_validIdx)
817  val wb_false_lastHalf = RegNext(f3_false_lastHalf) && wb_lastIdx =/= (PredictWidth - 1).U
818  val wb_false_target   = RegNext(f3_false_snpc)
819
820  val wb_half_flush = wb_false_lastHalf
821  val wb_half_target = wb_false_target
822
823  /* false oversize */
824  val lastIsRVC = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool())).last  && wb_pd.last.isRVC
825  val lastIsRVI = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool()))(PredictWidth - 2) && !wb_pd(PredictWidth - 2).isRVC
826  val lastTaken = wb_check_result_stage1.fixedTaken.last
827
828  f3_wb_not_flush := wb_ftq_req.ftqIdx === f3_ftq_req.ftqIdx && f3_valid && wb_valid
829
830  /** if a req with a last half but miss predicted enters in wb stage, and this cycle f3 stalls,
831    * we set a flag to notify f3 that the last half flag need not to be set.
832    */
833  //f3_fire is after wb_valid
834  when(wb_valid && RegNext(f3_hasLastHalf,init = false.B)
835        && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && !f3_fire  && !RegNext(f3_fire,init = false.B) && !f3_flush
836      ){
837    f3_lastHalf_disable := true.B
838  }
839
840  //wb_valid and f3_fire are in same cycle
841  when(wb_valid && RegNext(f3_hasLastHalf,init = false.B)
842        && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && f3_fire
843      ){
844    f3_lastHalf.valid := false.B
845  }
846
847  val checkFlushWb = Wire(Valid(new PredecodeWritebackBundle))
848  val checkFlushWbjalTargetIdx = ParallelPriorityEncoder(VecInit(wb_pd.zip(wb_instr_valid).map{case (pd, v) => v && pd.isJal }))
849  val checkFlushWbTargetIdx = ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred)
850  checkFlushWb.valid                  := wb_valid
851  checkFlushWb.bits.pc                := wb_pc
852  checkFlushWb.bits.pd                := wb_pd
853  checkFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid := wb_instr_valid(i)}
854  checkFlushWb.bits.ftqIdx            := wb_ftq_req.ftqIdx
855  checkFlushWb.bits.ftqOffset         := wb_ftq_req.ftqOffset.bits
856  checkFlushWb.bits.misOffset.valid   := ParallelOR(wb_check_result_stage2.fixedMissPred) || wb_half_flush
857  checkFlushWb.bits.misOffset.bits    := Mux(wb_half_flush, wb_lastIdx, ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred))
858  checkFlushWb.bits.cfiOffset.valid   := ParallelOR(wb_check_result_stage1.fixedTaken)
859  checkFlushWb.bits.cfiOffset.bits    := ParallelPriorityEncoder(wb_check_result_stage1.fixedTaken)
860  checkFlushWb.bits.target            := Mux(wb_half_flush, wb_half_target, wb_check_result_stage2.fixedTarget(checkFlushWbTargetIdx))
861  checkFlushWb.bits.jalTarget         := wb_check_result_stage2.jalTarget(checkFlushWbjalTargetIdx)
862  checkFlushWb.bits.instrRange        := wb_instr_range.asTypeOf(Vec(PredictWidth, Bool()))
863
864  toFtq.pdWb := Mux(wb_valid, checkFlushWb,  mmioFlushWb)
865
866  wb_redirect := checkFlushWb.bits.misOffset.valid && wb_valid
867
868  /*write back flush type*/
869  val checkFaultType = wb_check_result_stage2.faultType
870  val checkJalFault =  wb_valid && checkFaultType.map(_.isjalFault).reduce(_||_)
871  val checkRetFault =  wb_valid && checkFaultType.map(_.isRetFault).reduce(_||_)
872  val checkTargetFault =  wb_valid && checkFaultType.map(_.istargetFault).reduce(_||_)
873  val checkNotCFIFault =  wb_valid && checkFaultType.map(_.notCFIFault).reduce(_||_)
874  val checkInvalidTaken =  wb_valid && checkFaultType.map(_.invalidTakenFault).reduce(_||_)
875
876
877  XSPerfAccumulate("predecode_flush_jalFault",   checkJalFault )
878  XSPerfAccumulate("predecode_flush_retFault",   checkRetFault )
879  XSPerfAccumulate("predecode_flush_targetFault",   checkTargetFault )
880  XSPerfAccumulate("predecode_flush_notCFIFault",   checkNotCFIFault )
881  XSPerfAccumulate("predecode_flush_incalidTakenFault",   checkInvalidTaken )
882
883  when(checkRetFault){
884    XSDebug("startAddr:%x  nextstartAddr:%x  taken:%d    takenIdx:%d\n",
885        wb_ftq_req.startAddr, wb_ftq_req.nextStartAddr, wb_ftq_req.ftqOffset.valid, wb_ftq_req.ftqOffset.bits)
886  }
887
888
889  /** performance counter */
890  val f3_perf_info     = RegEnable(f2_perf_info,  f2_fire)
891  val f3_req_0    = io.toIbuffer.fire
892  val f3_req_1    = io.toIbuffer.fire && f3_doubleLine
893  val f3_hit_0    = io.toIbuffer.fire && f3_perf_info.bank_hit(0)
894  val f3_hit_1    = io.toIbuffer.fire && f3_doubleLine & f3_perf_info.bank_hit(1)
895  val f3_hit      = f3_perf_info.hit
896  val perfEvents = Seq(
897    ("frontendFlush                ", wb_redirect                                ),
898    ("ifu_req                      ", io.toIbuffer.fire                        ),
899    ("ifu_miss                     ", io.toIbuffer.fire && !f3_perf_info.hit   ),
900    ("ifu_req_cacheline_0          ", f3_req_0                                   ),
901    ("ifu_req_cacheline_1          ", f3_req_1                                   ),
902    ("ifu_req_cacheline_0_hit      ", f3_hit_1                                   ),
903    ("ifu_req_cacheline_1_hit      ", f3_hit_1                                   ),
904    ("only_0_hit                   ", f3_perf_info.only_0_hit       && io.toIbuffer.fire ),
905    ("only_0_miss                  ", f3_perf_info.only_0_miss      && io.toIbuffer.fire ),
906    ("hit_0_hit_1                  ", f3_perf_info.hit_0_hit_1      && io.toIbuffer.fire ),
907    ("hit_0_miss_1                 ", f3_perf_info.hit_0_miss_1     && io.toIbuffer.fire ),
908    ("miss_0_hit_1                 ", f3_perf_info.miss_0_hit_1     && io.toIbuffer.fire ),
909    ("miss_0_miss_1                ", f3_perf_info.miss_0_miss_1    && io.toIbuffer.fire ),
910  )
911  generatePerfEvent()
912
913  XSPerfAccumulate("ifu_req",   io.toIbuffer.fire )
914  XSPerfAccumulate("ifu_miss",  io.toIbuffer.fire && !f3_hit )
915  XSPerfAccumulate("ifu_req_cacheline_0", f3_req_0  )
916  XSPerfAccumulate("ifu_req_cacheline_1", f3_req_1  )
917  XSPerfAccumulate("ifu_req_cacheline_0_hit",   f3_hit_0 )
918  XSPerfAccumulate("ifu_req_cacheline_1_hit",   f3_hit_1 )
919  XSPerfAccumulate("frontendFlush",  wb_redirect )
920  XSPerfAccumulate("only_0_hit",      f3_perf_info.only_0_hit   && io.toIbuffer.fire  )
921  XSPerfAccumulate("only_0_miss",     f3_perf_info.only_0_miss  && io.toIbuffer.fire  )
922  XSPerfAccumulate("hit_0_hit_1",     f3_perf_info.hit_0_hit_1  && io.toIbuffer.fire  )
923  XSPerfAccumulate("hit_0_miss_1",    f3_perf_info.hit_0_miss_1  && io.toIbuffer.fire  )
924  XSPerfAccumulate("miss_0_hit_1",    f3_perf_info.miss_0_hit_1   && io.toIbuffer.fire )
925  XSPerfAccumulate("miss_0_miss_1",   f3_perf_info.miss_0_miss_1 && io.toIbuffer.fire )
926  XSPerfAccumulate("hit_0_except_1",   f3_perf_info.hit_0_except_1 && io.toIbuffer.fire )
927  XSPerfAccumulate("miss_0_except_1",   f3_perf_info.miss_0_except_1 && io.toIbuffer.fire )
928  XSPerfAccumulate("except_0",   f3_perf_info.except_0 && io.toIbuffer.fire )
929  XSPerfHistogram("ifu2ibuffer_validCnt", PopCount(io.toIbuffer.bits.valid & io.toIbuffer.bits.enqEnable), io.toIbuffer.fire, 0, PredictWidth + 1, 1)
930
931  val isWriteFetchToIBufferTable = WireInit(Constantin.createRecord("isWriteFetchToIBufferTable" + p(XSCoreParamsKey).HartId.toString))
932  val isWriteIfuWbToFtqTable = WireInit(Constantin.createRecord("isWriteIfuWbToFtqTable" + p(XSCoreParamsKey).HartId.toString))
933  val fetchToIBufferTable = ChiselDB.createTable("FetchToIBuffer" + p(XSCoreParamsKey).HartId.toString, new FetchToIBufferDB)
934  val ifuWbToFtqTable = ChiselDB.createTable("IfuWbToFtq" + p(XSCoreParamsKey).HartId.toString, new IfuWbToFtqDB)
935
936  val fetchIBufferDumpData = Wire(new FetchToIBufferDB)
937  fetchIBufferDumpData.start_addr := f3_ftq_req.startAddr
938  fetchIBufferDumpData.instr_count := PopCount(io.toIbuffer.bits.enqEnable)
939  fetchIBufferDumpData.exception := (f3_perf_info.except_0 && io.toIbuffer.fire) || (f3_perf_info.hit_0_except_1 && io.toIbuffer.fire) || (f3_perf_info.miss_0_except_1 && io.toIbuffer.fire)
940  fetchIBufferDumpData.is_cache_hit := f3_hit
941
942  val ifuWbToFtqDumpData = Wire(new IfuWbToFtqDB)
943  ifuWbToFtqDumpData.start_addr := wb_ftq_req.startAddr
944  ifuWbToFtqDumpData.is_miss_pred := checkFlushWb.bits.misOffset.valid
945  ifuWbToFtqDumpData.miss_pred_offset := checkFlushWb.bits.misOffset.bits
946  ifuWbToFtqDumpData.checkJalFault := checkJalFault
947  ifuWbToFtqDumpData.checkRetFault := checkRetFault
948  ifuWbToFtqDumpData.checkTargetFault := checkTargetFault
949  ifuWbToFtqDumpData.checkNotCFIFault := checkNotCFIFault
950  ifuWbToFtqDumpData.checkInvalidTaken := checkInvalidTaken
951
952  fetchToIBufferTable.log(
953    data = fetchIBufferDumpData,
954    en = isWriteFetchToIBufferTable.orR && io.toIbuffer.fire,
955    site = "IFU" + p(XSCoreParamsKey).HartId.toString,
956    clock = clock,
957    reset = reset
958  )
959  ifuWbToFtqTable.log(
960    data = ifuWbToFtqDumpData,
961    en = isWriteIfuWbToFtqTable.orR && checkFlushWb.valid,
962    site = "IFU" + p(XSCoreParamsKey).HartId.toString,
963    clock = clock,
964    reset = reset
965  )
966
967}
968