1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.frontend 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.rocket.RVCDecoder 23import xiangshan._ 24import xiangshan.cache.mmu._ 25import xiangshan.frontend.icache._ 26import utils._ 27import utility._ 28import xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle} 29import utility.ChiselDB 30 31trait HasInstrMMIOConst extends HasXSParameter with HasIFUConst{ 32 def mmioBusWidth = 64 33 def mmioBusBytes = mmioBusWidth / 8 34 def maxInstrLen = 32 35} 36 37trait HasIFUConst extends HasXSParameter{ 38 def addrAlign(addr: UInt, bytes: Int, highest: Int): UInt = Cat(addr(highest-1, log2Ceil(bytes)), 0.U(log2Ceil(bytes).W)) 39 def fetchQueueSize = 2 40 41 def getBasicBlockIdx( pc: UInt, start: UInt ): UInt = { 42 val byteOffset = pc - start 43 (byteOffset - instBytes.U)(log2Ceil(PredictWidth),instOffsetBits) 44 } 45} 46 47class IfuToFtqIO(implicit p:Parameters) extends XSBundle { 48 val pdWb = Valid(new PredecodeWritebackBundle) 49} 50 51class FtqInterface(implicit p: Parameters) extends XSBundle { 52 val fromFtq = Flipped(new FtqToIfuIO) 53 val toFtq = new IfuToFtqIO 54} 55 56class UncacheInterface(implicit p: Parameters) extends XSBundle { 57 val fromUncache = Flipped(DecoupledIO(new InsUncacheResp)) 58 val toUncache = DecoupledIO( new InsUncacheReq ) 59} 60 61class NewIFUIO(implicit p: Parameters) extends XSBundle { 62 val ftqInter = new FtqInterface 63 val icacheInter = Flipped(new IFUICacheIO) 64 val icacheStop = Output(Bool()) 65 val icachePerfInfo = Input(new ICachePerfInfo) 66 val toIbuffer = Decoupled(new FetchToIBuffer) 67 val uncacheInter = new UncacheInterface 68 val frontendTrigger = Flipped(new FrontendTdataDistributeIO) 69 val csrTriggerEnable = Input(Vec(4, Bool())) 70 val rob_commits = Flipped(Vec(CommitWidth, Valid(new RobCommitInfo))) 71 val iTLBInter = new TlbRequestIO 72 val pmp = new ICachePMPBundle 73 val mmioCommitRead = new mmioCommitRead 74} 75 76// record the situation in which fallThruAddr falls into 77// the middle of an RVI inst 78class LastHalfInfo(implicit p: Parameters) extends XSBundle { 79 val valid = Bool() 80 val middlePC = UInt(VAddrBits.W) 81 def matchThisBlock(startAddr: UInt) = valid && middlePC === startAddr 82} 83 84class IfuToPreDecode(implicit p: Parameters) extends XSBundle { 85 val data = if(HasCExtension) Vec(PredictWidth + 1, UInt(16.W)) else Vec(PredictWidth, UInt(32.W)) 86 val frontendTrigger = new FrontendTdataDistributeIO 87 val csrTriggerEnable = Vec(4, Bool()) 88 val pc = Vec(PredictWidth, UInt(VAddrBits.W)) 89} 90 91 92class IfuToPredChecker(implicit p: Parameters) extends XSBundle { 93 val ftqOffset = Valid(UInt(log2Ceil(PredictWidth).W)) 94 val jumpOffset = Vec(PredictWidth, UInt(XLEN.W)) 95 val target = UInt(VAddrBits.W) 96 val instrRange = Vec(PredictWidth, Bool()) 97 val instrValid = Vec(PredictWidth, Bool()) 98 val pds = Vec(PredictWidth, new PreDecodeInfo) 99 val pc = Vec(PredictWidth, UInt(VAddrBits.W)) 100} 101 102class FetchToIBufferDB extends Bundle { 103 val start_addr = UInt(39.W) 104 val instr_count = UInt(32.W) 105 val exception = Bool() 106 val is_cache_hit = Bool() 107} 108 109class IfuWbToFtqDB extends Bundle { 110 val start_addr = UInt(39.W) 111 val is_miss_pred = Bool() 112 val miss_pred_offset = UInt(32.W) 113 val checkJalFault = Bool() 114 val checkRetFault = Bool() 115 val checkTargetFault = Bool() 116 val checkNotCFIFault = Bool() 117 val checkInvalidTaken = Bool() 118} 119 120class NewIFU(implicit p: Parameters) extends XSModule 121 with HasICacheParameters 122 with HasIFUConst 123 with HasPdConst 124 with HasCircularQueuePtrHelper 125 with HasPerfEvents 126{ 127 val io = IO(new NewIFUIO) 128 val (toFtq, fromFtq) = (io.ftqInter.toFtq, io.ftqInter.fromFtq) 129 val fromICache = io.icacheInter.resp 130 val (toUncache, fromUncache) = (io.uncacheInter.toUncache , io.uncacheInter.fromUncache) 131 132 def isCrossLineReq(start: UInt, end: UInt): Bool = start(blockOffBits) ^ end(blockOffBits) 133 134 def isLastInCacheline(addr: UInt): Bool = addr(blockOffBits - 1, 1) === 0.U 135 136 def numOfStage = 3 137 require(numOfStage > 1, "BPU numOfStage must be greater than 1") 138 val topdown_stages = RegInit(VecInit(Seq.fill(numOfStage)(0.U.asTypeOf(new FrontendTopDownBundle)))) 139 // bubble events in IFU, only happen in stage 1 140 val icacheMissBubble = Wire(Bool()) 141 val itlbMissBubble =Wire(Bool()) 142 143 // only driven by clock, not valid-ready 144 topdown_stages(0) := fromFtq.req.bits.topdown_info 145 for (i <- 1 until numOfStage) { 146 topdown_stages(i) := topdown_stages(i - 1) 147 } 148 when (icacheMissBubble) { 149 topdown_stages(1).reasons(TopDownCounters.ICacheMissBubble.id) := true.B 150 } 151 when (itlbMissBubble) { 152 topdown_stages(1).reasons(TopDownCounters.ITLBMissBubble.id) := true.B 153 } 154 io.toIbuffer.bits.topdown_info := topdown_stages(numOfStage - 1) 155 when (fromFtq.topdown_redirect.valid) { 156 // only redirect from backend, IFU redirect itself is handled elsewhere 157 when (fromFtq.topdown_redirect.bits.debugIsCtrl) { 158 /* 159 for (i <- 0 until numOfStage) { 160 topdown_stages(i).reasons(TopDownCounters.ControlRedirectBubble.id) := true.B 161 } 162 io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ControlRedirectBubble.id) := true.B 163 */ 164 when (fromFtq.topdown_redirect.bits.ControlBTBMissBubble) { 165 for (i <- 0 until numOfStage) { 166 topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B 167 } 168 io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B 169 } .elsewhen (fromFtq.topdown_redirect.bits.TAGEMissBubble) { 170 for (i <- 0 until numOfStage) { 171 topdown_stages(i).reasons(TopDownCounters.TAGEMissBubble.id) := true.B 172 } 173 io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.TAGEMissBubble.id) := true.B 174 } .elsewhen (fromFtq.topdown_redirect.bits.SCMissBubble) { 175 for (i <- 0 until numOfStage) { 176 topdown_stages(i).reasons(TopDownCounters.SCMissBubble.id) := true.B 177 } 178 io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.SCMissBubble.id) := true.B 179 } .elsewhen (fromFtq.topdown_redirect.bits.ITTAGEMissBubble) { 180 for (i <- 0 until numOfStage) { 181 topdown_stages(i).reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B 182 } 183 io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B 184 } .elsewhen (fromFtq.topdown_redirect.bits.RASMissBubble) { 185 for (i <- 0 until numOfStage) { 186 topdown_stages(i).reasons(TopDownCounters.RASMissBubble.id) := true.B 187 } 188 io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.RASMissBubble.id) := true.B 189 } 190 } .elsewhen (fromFtq.topdown_redirect.bits.debugIsMemVio) { 191 for (i <- 0 until numOfStage) { 192 topdown_stages(i).reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B 193 } 194 io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B 195 } .otherwise { 196 for (i <- 0 until numOfStage) { 197 topdown_stages(i).reasons(TopDownCounters.OtherRedirectBubble.id) := true.B 198 } 199 io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B 200 } 201 } 202 203 class TlbExept(implicit p: Parameters) extends XSBundle{ 204 val pageFault = Bool() 205 val accessFault = Bool() 206 val mmio = Bool() 207 } 208 209 val preDecoder = Module(new PreDecode) 210 211 val predChecker = Module(new PredChecker) 212 val frontendTrigger = Module(new FrontendTrigger) 213 val (checkerIn, checkerOutStage1, checkerOutStage2) = (predChecker.io.in, predChecker.io.out.stage1Out,predChecker.io.out.stage2Out) 214 215 io.iTLBInter.req_kill := false.B 216 io.iTLBInter.resp.ready := true.B 217 218 /** 219 ****************************************************************************** 220 * IFU Stage 0 221 * - send cacheline fetch request to ICacheMainPipe 222 ****************************************************************************** 223 */ 224 225 val f0_valid = fromFtq.req.valid 226 val f0_ftq_req = fromFtq.req.bits 227 val f0_doubleLine = fromFtq.req.bits.crossCacheline 228 val f0_vSetIdx = VecInit(get_idx((f0_ftq_req.startAddr)), get_idx(f0_ftq_req.nextlineStart)) 229 val f0_fire = fromFtq.req.fire 230 231 val f0_flush, f1_flush, f2_flush, f3_flush = WireInit(false.B) 232 val from_bpu_f0_flush, from_bpu_f1_flush, from_bpu_f2_flush, from_bpu_f3_flush = WireInit(false.B) 233 234 from_bpu_f0_flush := fromFtq.flushFromBpu.shouldFlushByStage2(f0_ftq_req.ftqIdx) || 235 fromFtq.flushFromBpu.shouldFlushByStage3(f0_ftq_req.ftqIdx) 236 237 val wb_redirect , mmio_redirect, backend_redirect= WireInit(false.B) 238 val f3_wb_not_flush = WireInit(false.B) 239 240 backend_redirect := fromFtq.redirect.valid 241 f3_flush := backend_redirect || (wb_redirect && !f3_wb_not_flush) 242 f2_flush := backend_redirect || mmio_redirect || wb_redirect 243 f1_flush := f2_flush || from_bpu_f1_flush 244 f0_flush := f1_flush || from_bpu_f0_flush 245 246 val f1_ready, f2_ready, f3_ready = WireInit(false.B) 247 248 fromFtq.req.ready := f1_ready && io.icacheInter.icacheReady 249 250 251 when (wb_redirect) { 252 when (f3_wb_not_flush) { 253 topdown_stages(2).reasons(TopDownCounters.BTBMissBubble.id) := true.B 254 } 255 for (i <- 0 until numOfStage - 1) { 256 topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B 257 } 258 } 259 260 /** <PERF> f0 fetch bubble */ 261 262 XSPerfAccumulate("fetch_bubble_ftq_not_valid", !fromFtq.req.valid && fromFtq.req.ready ) 263 // XSPerfAccumulate("fetch_bubble_pipe_stall", f0_valid && toICache(0).ready && toICache(1).ready && !f1_ready ) 264 // XSPerfAccumulate("fetch_bubble_icache_0_busy", f0_valid && !toICache(0).ready ) 265 // XSPerfAccumulate("fetch_bubble_icache_1_busy", f0_valid && !toICache(1).ready ) 266 XSPerfAccumulate("fetch_flush_backend_redirect", backend_redirect ) 267 XSPerfAccumulate("fetch_flush_wb_redirect", wb_redirect ) 268 XSPerfAccumulate("fetch_flush_bpu_f1_flush", from_bpu_f1_flush ) 269 XSPerfAccumulate("fetch_flush_bpu_f0_flush", from_bpu_f0_flush ) 270 271 272 /** 273 ****************************************************************************** 274 * IFU Stage 1 275 * - calculate pc/half_pc/cut_ptr for every instruction 276 ****************************************************************************** 277 */ 278 279 val f1_valid = RegInit(false.B) 280 val f1_ftq_req = RegEnable(f0_ftq_req, f0_fire) 281 // val f1_situation = RegEnable(f0_situation, f0_fire) 282 val f1_doubleLine = RegEnable(f0_doubleLine, f0_fire) 283 val f1_vSetIdx = RegEnable(f0_vSetIdx, f0_fire) 284 val f1_fire = f1_valid && f2_ready 285 286 f1_ready := f1_fire || !f1_valid 287 288 from_bpu_f1_flush := fromFtq.flushFromBpu.shouldFlushByStage3(f1_ftq_req.ftqIdx) && f1_valid 289 // from_bpu_f1_flush := false.B 290 291 when(f1_flush) {f1_valid := false.B} 292 .elsewhen(f0_fire && !f0_flush) {f1_valid := true.B} 293 .elsewhen(f1_fire) {f1_valid := false.B} 294 295 val f1_pc_adder_cut_point = (VAddrBits/2) - 1 // equal lower_result overflow bit 296 val f1_pc_high = f1_ftq_req.startAddr(VAddrBits-1,f1_pc_adder_cut_point) 297 val f1_pc_high_plus1 = f1_pc_high + 1.U 298 299 val f1_pc_lower_result = VecInit((0 until PredictWidth).map(i => Cat(0.U(1.W), f1_ftq_req.startAddr(f1_pc_adder_cut_point-1, 0)) + (i * 2).U)) // cat with overflow bit 300 val f1_pc = VecInit(f1_pc_lower_result.map{ i => 301 Mux(i(f1_pc_adder_cut_point), Cat(f1_pc_high_plus1,i(f1_pc_adder_cut_point-1,0)), Cat(f1_pc_high,i(f1_pc_adder_cut_point-1,0)))}) 302 303 val f1_half_snpc_lower_result = VecInit((0 until PredictWidth).map(i => Cat(0.U(1.W), f1_ftq_req.startAddr(f1_pc_adder_cut_point-1, 0)) + ((i+2) * 2).U)) // cat with overflow bit 304 val f1_half_snpc = VecInit(f1_half_snpc_lower_result.map{i => 305 Mux(i(f1_pc_adder_cut_point), Cat(f1_pc_high_plus1,i(f1_pc_adder_cut_point-1,0)), Cat(f1_pc_high,i(f1_pc_adder_cut_point-1,0)))}) 306 307 if (env.FPGAPlatform){ 308 val f1_pc_diff = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + (i * 2).U)) 309 val f1_half_snpc_diff = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + ((i+2) * 2).U)) 310 311 XSError(f1_pc.zip(f1_pc_diff).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_), "f1_half_snpc adder cut fail") 312 XSError(f1_half_snpc.zip(f1_half_snpc_diff).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_), "f1_half_snpc adder cut fail") 313 } 314 315 val f1_cut_ptr = if(HasCExtension) VecInit((0 until PredictWidth + 1).map(i => Cat(0.U(2.W), f1_ftq_req.startAddr(blockOffBits-2, 1)) + i.U )) 316 else VecInit((0 until PredictWidth).map(i => Cat(0.U(2.W), f1_ftq_req.startAddr(blockOffBits-2, 2)) + i.U )) 317 318 /** 319 ****************************************************************************** 320 * IFU Stage 2 321 * - icache response data (latched for pipeline stop) 322 * - generate exceprion bits for every instruciton (page fault/access fault/mmio) 323 * - generate predicted instruction range (1 means this instruciton is in this fetch packet) 324 * - cut data from cachlines to packet instruction code 325 * - instruction predecode and RVC expand 326 ****************************************************************************** 327 */ 328 329 val icacheRespAllValid = WireInit(false.B) 330 331 val f2_valid = RegInit(false.B) 332 val f2_ftq_req = RegEnable(f1_ftq_req, f1_fire) 333 // val f2_situation = RegEnable(f1_situation, f1_fire) 334 val f2_doubleLine = RegEnable(f1_doubleLine, f1_fire) 335 val f2_vSetIdx = RegEnable(f1_vSetIdx, f1_fire) 336 val f2_fire = f2_valid && f3_ready && icacheRespAllValid 337 338 f2_ready := f2_fire || !f2_valid 339 //TODO: addr compare may be timing critical 340 val f2_icache_all_resp_wire = fromICache(0).valid && (fromICache(0).bits.vaddr === f2_ftq_req.startAddr) && ((fromICache(1).valid && (fromICache(1).bits.vaddr === f2_ftq_req.nextlineStart)) || !f2_doubleLine) 341 val f2_icache_all_resp_reg = RegInit(false.B) 342 343 icacheRespAllValid := f2_icache_all_resp_reg || f2_icache_all_resp_wire 344 345 icacheMissBubble := io.icacheInter.topdownIcacheMiss 346 itlbMissBubble := io.icacheInter.topdownItlbMiss 347 348 io.icacheStop := !f3_ready 349 350 when(f2_flush) {f2_icache_all_resp_reg := false.B} 351 .elsewhen(f2_valid && f2_icache_all_resp_wire && !f3_ready) {f2_icache_all_resp_reg := true.B} 352 .elsewhen(f2_fire && f2_icache_all_resp_reg) {f2_icache_all_resp_reg := false.B} 353 354 when(f2_flush) {f2_valid := false.B} 355 .elsewhen(f1_fire && !f1_flush) {f2_valid := true.B } 356 .elsewhen(f2_fire) {f2_valid := false.B} 357 358 val f2_except_pf = VecInit((0 until PortNumber).map(i => fromICache(i).bits.tlbExcp.pageFault)) 359 val f2_except_af = VecInit((0 until PortNumber).map(i => fromICache(i).bits.tlbExcp.accessFault)) 360 val f2_mmio = fromICache(0).bits.tlbExcp.mmio && !fromICache(0).bits.tlbExcp.accessFault && 361 !fromICache(0).bits.tlbExcp.pageFault 362 363 val f2_pc = RegEnable(f1_pc, f1_fire) 364 val f2_half_snpc = RegEnable(f1_half_snpc, f1_fire) 365 val f2_cut_ptr = RegEnable(f1_cut_ptr, f1_fire) 366 367 val f2_resend_vaddr = RegEnable(f1_ftq_req.startAddr + 2.U, f1_fire) 368 369 def isNextLine(pc: UInt, startAddr: UInt) = { 370 startAddr(blockOffBits) ^ pc(blockOffBits) 371 } 372 373 def isLastInLine(pc: UInt) = { 374 pc(blockOffBits - 1, 0) === "b111110".U 375 } 376 377 val f2_foldpc = VecInit(f2_pc.map(i => XORFold(i(VAddrBits-1,1), MemPredPCWidth))) 378 val f2_jump_range = Fill(PredictWidth, !f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~f2_ftq_req.ftqOffset.bits 379 val f2_ftr_range = Fill(PredictWidth, f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~getBasicBlockIdx(f2_ftq_req.nextStartAddr, f2_ftq_req.startAddr) 380 val f2_instr_range = f2_jump_range & f2_ftr_range 381 val f2_pf_vec = VecInit((0 until PredictWidth).map(i => (!isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_except_pf(0) || isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine && f2_except_pf(1)))) 382 val f2_af_vec = VecInit((0 until PredictWidth).map(i => (!isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_except_af(0) || isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine && f2_except_af(1)))) 383 384 val f2_paddrs = VecInit((0 until PortNumber).map(i => fromICache(i).bits.paddr)) 385 val f2_perf_info = io.icachePerfInfo 386 387 def cut(cacheline: UInt, cutPtr: Vec[UInt]) : Vec[UInt] ={ 388 require(HasCExtension) 389 // if(HasCExtension){ 390 val result = Wire(Vec(PredictWidth + 1, UInt(16.W))) 391 val dataVec = cacheline.asTypeOf(Vec(blockBytes/2, UInt(16.W))) //32 16-bit data vector 392 (0 until PredictWidth + 1).foreach( i => 393 result(i) := dataVec(cutPtr(i)) //the max ptr is 3*blockBytes/4-1 394 ) 395 result 396 // } else { 397 // val result = Wire(Vec(PredictWidth, UInt(32.W)) ) 398 // val dataVec = cacheline.asTypeOf(Vec(blockBytes * 2/ 4, UInt(32.W))) 399 // (0 until PredictWidth).foreach( i => 400 // result(i) := dataVec(cutPtr(i)) 401 // ) 402 // result 403 // } 404 } 405 406 val f2_cache_response_data = fromICache.map(_.bits.data) 407 val f2_data_2_cacheline = Cat(f2_cache_response_data(1), f2_cache_response_data(0)) 408 409 val f2_cut_data = cut(f2_data_2_cacheline, f2_cut_ptr) 410 411 /** predecode (include RVC expander) */ 412 // preDecoderRegIn.data := f2_reg_cut_data 413 // preDecoderRegInIn.frontendTrigger := io.frontendTrigger 414 // preDecoderRegInIn.csrTriggerEnable := io.csrTriggerEnable 415 // preDecoderRegIn.pc := f2_pc 416 417 val preDecoderIn = preDecoder.io.in 418 preDecoderIn.data := f2_cut_data 419 preDecoderIn.frontendTrigger := io.frontendTrigger 420 preDecoderIn.csrTriggerEnable := io.csrTriggerEnable 421 preDecoderIn.pc := f2_pc 422 val preDecoderOut = preDecoder.io.out 423 424 425 //val f2_expd_instr = preDecoderOut.expInstr 426 val f2_instr = preDecoderOut.instr 427 val f2_pd = preDecoderOut.pd 428 val f2_jump_offset = preDecoderOut.jumpOffset 429 val f2_hasHalfValid = preDecoderOut.hasHalfValid 430 val f2_crossPageFault = VecInit((0 until PredictWidth).map(i => isLastInLine(f2_pc(i)) && !f2_except_pf(0) && f2_doubleLine && f2_except_pf(1) && !f2_pd(i).isRVC )) 431 432 XSPerfAccumulate("fetch_bubble_icache_not_resp", f2_valid && !icacheRespAllValid ) 433 434 435 /** 436 ****************************************************************************** 437 * IFU Stage 3 438 * - handle MMIO instruciton 439 * -send request to Uncache fetch Unit 440 * -every packet include 1 MMIO instruction 441 * -MMIO instructions will stop fetch pipeline until commiting from RoB 442 * -flush to snpc (send ifu_redirect to Ftq) 443 * - Ibuffer enqueue 444 * - check predict result in Frontend (jalFault/retFault/notCFIFault/invalidTakenFault/targetFault) 445 * - handle last half RVI instruction 446 ****************************************************************************** 447 */ 448 449 val f3_valid = RegInit(false.B) 450 val f3_ftq_req = RegEnable(f2_ftq_req, f2_fire) 451 // val f3_situation = RegEnable(f2_situation, f2_fire) 452 val f3_doubleLine = RegEnable(f2_doubleLine, f2_fire) 453 val f3_fire = io.toIbuffer.fire 454 455 f3_ready := f3_fire || !f3_valid 456 457 val f3_cut_data = RegEnable(f2_cut_data, f2_fire) 458 459 val f3_except_pf = RegEnable(f2_except_pf, f2_fire) 460 val f3_except_af = RegEnable(f2_except_af, f2_fire) 461 val f3_mmio = RegEnable(f2_mmio , f2_fire) 462 463 //val f3_expd_instr = RegEnable(f2_expd_instr, f2_fire) 464 val f3_instr = RegEnable(f2_instr, f2_fire) 465 val f3_expd_instr = VecInit((0 until PredictWidth).map{ i => 466 val expander = Module(new RVCExpander) 467 expander.io.in := f3_instr(i) 468 expander.io.out.bits 469 }) 470 471 val f3_pd_wire = RegEnable(f2_pd, f2_fire) 472 val f3_pd = WireInit(f3_pd_wire) 473 val f3_jump_offset = RegEnable(f2_jump_offset, f2_fire) 474 val f3_af_vec = RegEnable(f2_af_vec, f2_fire) 475 val f3_pf_vec = RegEnable(f2_pf_vec , f2_fire) 476 val f3_pc = RegEnable(f2_pc, f2_fire) 477 val f3_half_snpc = RegEnable(f2_half_snpc, f2_fire) 478 val f3_instr_range = RegEnable(f2_instr_range, f2_fire) 479 val f3_foldpc = RegEnable(f2_foldpc, f2_fire) 480 val f3_crossPageFault = RegEnable(f2_crossPageFault, f2_fire) 481 val f3_hasHalfValid = RegEnable(f2_hasHalfValid, f2_fire) 482 val f3_except = VecInit((0 until 2).map{i => f3_except_pf(i) || f3_except_af(i)}) 483 val f3_has_except = f3_valid && (f3_except_af.reduce(_||_) || f3_except_pf.reduce(_||_)) 484 val f3_pAddrs = RegEnable(f2_paddrs, f2_fire) 485 val f3_resend_vaddr = RegEnable(f2_resend_vaddr, f2_fire) 486 487 // Expand 1 bit to prevent overflow when assert 488 val f3_ftq_req_startAddr = Cat(0.U(1.W), f3_ftq_req.startAddr) 489 val f3_ftq_req_nextStartAddr = Cat(0.U(1.W), f3_ftq_req.nextStartAddr) 490 // brType, isCall and isRet generation is delayed to f3 stage 491 val f3Predecoder = Module(new F3Predecoder) 492 493 f3Predecoder.io.in.instr := f3_instr 494 495 f3_pd.zipWithIndex.map{ case (pd,i) => 496 pd.brType := f3Predecoder.io.out.pd(i).brType 497 pd.isCall := f3Predecoder.io.out.pd(i).isCall 498 pd.isRet := f3Predecoder.io.out.pd(i).isRet 499 } 500 501 val f3PdDiff = f3_pd_wire.zip(f3_pd).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_) 502 XSError(f3_valid && f3PdDiff, "f3 pd diff") 503 504 when(f3_valid && !f3_ftq_req.ftqOffset.valid){ 505 assert(f3_ftq_req_startAddr + (2*PredictWidth).U >= f3_ftq_req_nextStartAddr, s"More tha ${2*PredictWidth} Bytes fetch is not allowed!") 506 } 507 508 /*** MMIO State Machine***/ 509 val f3_mmio_data = Reg(Vec(2, UInt(16.W))) 510 val mmio_is_RVC = RegInit(false.B) 511 val mmio_resend_addr =RegInit(0.U(PAddrBits.W)) 512 val mmio_resend_af = RegInit(false.B) 513 val mmio_resend_pf = RegInit(false.B) 514 515 //last instuction finish 516 val is_first_instr = RegInit(true.B) 517 io.mmioCommitRead.mmioFtqPtr := RegNext(f3_ftq_req.ftqIdx + 1.U) 518 519 val m_idle :: m_waitLastCmt:: m_sendReq :: m_waitResp :: m_sendTLB :: m_tlbResp :: m_sendPMP :: m_resendReq :: m_waitResendResp :: m_waitCommit :: m_commited :: Nil = Enum(11) 520 val mmio_state = RegInit(m_idle) 521 522 val f3_req_is_mmio = f3_mmio && f3_valid 523 val mmio_commit = VecInit(io.rob_commits.map{commit => commit.valid && commit.bits.ftqIdx === f3_ftq_req.ftqIdx && commit.bits.ftqOffset === 0.U}).asUInt.orR 524 val f3_mmio_req_commit = f3_req_is_mmio && mmio_state === m_commited 525 526 val f3_mmio_to_commit = f3_req_is_mmio && mmio_state === m_waitCommit 527 val f3_mmio_to_commit_next = RegNext(f3_mmio_to_commit) 528 val f3_mmio_can_go = f3_mmio_to_commit && !f3_mmio_to_commit_next 529 530 val fromFtqRedirectReg = RegNext(fromFtq.redirect,init = 0.U.asTypeOf(fromFtq.redirect)) 531 val mmioF3Flush = RegNext(f3_flush,init = false.B) 532 val f3_ftq_flush_self = fromFtqRedirectReg.valid && RedirectLevel.flushItself(fromFtqRedirectReg.bits.level) 533 val f3_ftq_flush_by_older = fromFtqRedirectReg.valid && isBefore(fromFtqRedirectReg.bits.ftqIdx, f3_ftq_req.ftqIdx) 534 535 val f3_need_not_flush = f3_req_is_mmio && fromFtqRedirectReg.valid && !f3_ftq_flush_self && !f3_ftq_flush_by_older 536 537 when(is_first_instr && mmio_commit){ 538 is_first_instr := false.B 539 } 540 541 when(f3_flush && !f3_req_is_mmio) {f3_valid := false.B} 542 .elsewhen(mmioF3Flush && f3_req_is_mmio && !f3_need_not_flush) {f3_valid := false.B} 543 .elsewhen(f2_fire && !f2_flush ) {f3_valid := true.B } 544 .elsewhen(io.toIbuffer.fire && !f3_req_is_mmio) {f3_valid := false.B} 545 .elsewhen{f3_req_is_mmio && f3_mmio_req_commit} {f3_valid := false.B} 546 547 val f3_mmio_use_seq_pc = RegInit(false.B) 548 549 val (redirect_ftqIdx, redirect_ftqOffset) = (fromFtqRedirectReg.bits.ftqIdx,fromFtqRedirectReg.bits.ftqOffset) 550 val redirect_mmio_req = fromFtqRedirectReg.valid && redirect_ftqIdx === f3_ftq_req.ftqIdx && redirect_ftqOffset === 0.U 551 552 when(RegNext(f2_fire && !f2_flush) && f3_req_is_mmio) { f3_mmio_use_seq_pc := true.B } 553 .elsewhen(redirect_mmio_req) { f3_mmio_use_seq_pc := false.B } 554 555 f3_ready := Mux(f3_req_is_mmio, io.toIbuffer.ready && f3_mmio_req_commit || !f3_valid , io.toIbuffer.ready || !f3_valid) 556 557 // mmio state machine 558 switch(mmio_state){ 559 is(m_idle){ 560 when(f3_req_is_mmio){ 561 mmio_state := m_waitLastCmt 562 } 563 } 564 565 is(m_waitLastCmt){ 566 when(is_first_instr){ 567 mmio_state := m_sendReq 568 }.otherwise{ 569 mmio_state := Mux(io.mmioCommitRead.mmioLastCommit, m_sendReq, m_waitLastCmt) 570 } 571 } 572 573 is(m_sendReq){ 574 mmio_state := Mux(toUncache.fire, m_waitResp, m_sendReq ) 575 } 576 577 is(m_waitResp){ 578 when(fromUncache.fire){ 579 val isRVC = fromUncache.bits.data(1,0) =/= 3.U 580 val needResend = !isRVC && f3_pAddrs(0)(2,1) === 3.U 581 mmio_state := Mux(needResend, m_sendTLB , m_waitCommit) 582 583 mmio_is_RVC := isRVC 584 f3_mmio_data(0) := fromUncache.bits.data(15,0) 585 f3_mmio_data(1) := fromUncache.bits.data(31,16) 586 } 587 } 588 589 is(m_sendTLB){ 590 when( io.iTLBInter.req.valid && !io.iTLBInter.resp.bits.miss ){ 591 mmio_state := m_tlbResp 592 } 593 } 594 595 is(m_tlbResp){ 596 val tlbExept = io.iTLBInter.resp.bits.excp(0).pf.instr || 597 io.iTLBInter.resp.bits.excp(0).af.instr 598 mmio_state := Mux(tlbExept,m_waitCommit,m_sendPMP) 599 mmio_resend_addr := io.iTLBInter.resp.bits.paddr(0) 600 mmio_resend_af := mmio_resend_af || io.iTLBInter.resp.bits.excp(0).af.instr 601 mmio_resend_pf := mmio_resend_pf || io.iTLBInter.resp.bits.excp(0).pf.instr 602 } 603 604 is(m_sendPMP){ 605 val pmpExcpAF = io.pmp.resp.instr || !io.pmp.resp.mmio 606 mmio_state := Mux(pmpExcpAF, m_waitCommit , m_resendReq) 607 mmio_resend_af := pmpExcpAF 608 } 609 610 is(m_resendReq){ 611 mmio_state := Mux(toUncache.fire, m_waitResendResp, m_resendReq ) 612 } 613 614 is(m_waitResendResp){ 615 when(fromUncache.fire){ 616 mmio_state := m_waitCommit 617 f3_mmio_data(1) := fromUncache.bits.data(15,0) 618 } 619 } 620 621 is(m_waitCommit){ 622 when(mmio_commit){ 623 mmio_state := m_commited 624 } 625 } 626 627 //normal mmio instruction 628 is(m_commited){ 629 mmio_state := m_idle 630 mmio_is_RVC := false.B 631 mmio_resend_addr := 0.U 632 } 633 } 634 635 // Exception or flush by older branch prediction 636 // Condition is from RegNext(fromFtq.redirect), 1 cycle after backend rediect 637 when(f3_ftq_flush_self || f3_ftq_flush_by_older) { 638 mmio_state := m_idle 639 mmio_is_RVC := false.B 640 mmio_resend_addr := 0.U 641 mmio_resend_af := false.B 642 f3_mmio_data.map(_ := 0.U) 643 } 644 645 toUncache.valid := ((mmio_state === m_sendReq) || (mmio_state === m_resendReq)) && f3_req_is_mmio 646 toUncache.bits.addr := Mux((mmio_state === m_resendReq), mmio_resend_addr, f3_pAddrs(0)) 647 fromUncache.ready := true.B 648 649 io.iTLBInter.req.valid := (mmio_state === m_sendTLB) && f3_req_is_mmio 650 io.iTLBInter.req.bits.size := 3.U 651 io.iTLBInter.req.bits.vaddr := f3_resend_vaddr 652 io.iTLBInter.req.bits.debug.pc := f3_resend_vaddr 653 654 io.iTLBInter.req.bits.kill := false.B // IFU use itlb for mmio, doesn't need sync, set it to false 655 io.iTLBInter.req.bits.cmd := TlbCmd.exec 656 io.iTLBInter.req.bits.memidx := DontCare 657 io.iTLBInter.req.bits.debug.robIdx := DontCare 658 io.iTLBInter.req.bits.no_translate := false.B 659 io.iTLBInter.req.bits.debug.isFirstIssue := DontCare 660 661 io.pmp.req.valid := (mmio_state === m_sendPMP) && f3_req_is_mmio 662 io.pmp.req.bits.addr := mmio_resend_addr 663 io.pmp.req.bits.size := 3.U 664 io.pmp.req.bits.cmd := TlbCmd.exec 665 666 val f3_lastHalf = RegInit(0.U.asTypeOf(new LastHalfInfo)) 667 668 val f3_predecode_range = VecInit(preDecoderOut.pd.map(inst => inst.valid)).asUInt 669 val f3_mmio_range = VecInit((0 until PredictWidth).map(i => if(i ==0) true.B else false.B)) 670 val f3_instr_valid = Wire(Vec(PredictWidth, Bool())) 671 672 /*** prediction result check ***/ 673 checkerIn.ftqOffset := f3_ftq_req.ftqOffset 674 checkerIn.jumpOffset := f3_jump_offset 675 checkerIn.target := f3_ftq_req.nextStartAddr 676 checkerIn.instrRange := f3_instr_range.asTypeOf(Vec(PredictWidth, Bool())) 677 checkerIn.instrValid := f3_instr_valid.asTypeOf(Vec(PredictWidth, Bool())) 678 checkerIn.pds := f3_pd 679 checkerIn.pc := f3_pc 680 681 /*** handle half RVI in the last 2 Bytes ***/ 682 683 def hasLastHalf(idx: UInt) = { 684 //!f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(idx) && !checkerOutStage2.fixedMissPred(idx) && ! f3_req_is_mmio 685 !f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(idx) && ! f3_req_is_mmio 686 } 687 688 val f3_last_validIdx = ParallelPosteriorityEncoder(checkerOutStage1.fixedRange) 689 690 val f3_hasLastHalf = hasLastHalf((PredictWidth - 1).U) 691 val f3_false_lastHalf = hasLastHalf(f3_last_validIdx) 692 val f3_false_snpc = f3_half_snpc(f3_last_validIdx) 693 694 val f3_lastHalf_mask = VecInit((0 until PredictWidth).map( i => if(i ==0) false.B else true.B )).asUInt 695 val f3_lastHalf_disable = RegInit(false.B) 696 697 when(f3_flush || (f3_fire && f3_lastHalf_disable)){ 698 f3_lastHalf_disable := false.B 699 } 700 701 when (f3_flush) { 702 f3_lastHalf.valid := false.B 703 }.elsewhen (f3_fire) { 704 f3_lastHalf.valid := f3_hasLastHalf && !f3_lastHalf_disable 705 f3_lastHalf.middlePC := f3_ftq_req.nextStartAddr 706 } 707 708 f3_instr_valid := Mux(f3_lastHalf.valid,f3_hasHalfValid ,VecInit(f3_pd.map(inst => inst.valid))) 709 710 /*** frontend Trigger ***/ 711 frontendTrigger.io.pds := f3_pd 712 frontendTrigger.io.pc := f3_pc 713 frontendTrigger.io.data := f3_cut_data 714 715 frontendTrigger.io.frontendTrigger := io.frontendTrigger 716 frontendTrigger.io.csrTriggerEnable := io.csrTriggerEnable 717 718 val f3_triggered = frontendTrigger.io.triggered 719 720 /*** send to Ibuffer ***/ 721 722 io.toIbuffer.valid := f3_valid && (!f3_req_is_mmio || f3_mmio_can_go) && !f3_flush 723 io.toIbuffer.bits.instrs := f3_expd_instr 724 io.toIbuffer.bits.valid := f3_instr_valid.asUInt 725 io.toIbuffer.bits.enqEnable := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt 726 io.toIbuffer.bits.pd := f3_pd 727 io.toIbuffer.bits.ftqPtr := f3_ftq_req.ftqIdx 728 io.toIbuffer.bits.pc := f3_pc 729 io.toIbuffer.bits.ftqOffset.zipWithIndex.map{case(a, i) => a.bits := i.U; a.valid := checkerOutStage1.fixedTaken(i) && !f3_req_is_mmio} 730 io.toIbuffer.bits.foldpc := f3_foldpc 731 io.toIbuffer.bits.ipf := VecInit(f3_pf_vec.zip(f3_crossPageFault).map{case (pf, crossPF) => pf || crossPF}) 732 io.toIbuffer.bits.acf := f3_af_vec 733 io.toIbuffer.bits.crossPageIPFFix := f3_crossPageFault 734 io.toIbuffer.bits.triggered := f3_triggered 735 736 when(f3_lastHalf.valid){ 737 io.toIbuffer.bits.enqEnable := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt & f3_lastHalf_mask 738 io.toIbuffer.bits.valid := f3_lastHalf_mask & f3_instr_valid.asUInt 739 } 740 741 742 743 //Write back to Ftq 744 val f3_cache_fetch = f3_valid && !(f2_fire && !f2_flush) 745 val finishFetchMaskReg = RegNext(f3_cache_fetch) 746 747 val mmioFlushWb = Wire(Valid(new PredecodeWritebackBundle)) 748 val f3_mmio_missOffset = Wire(ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))) 749 f3_mmio_missOffset.valid := f3_req_is_mmio 750 f3_mmio_missOffset.bits := 0.U 751 752 // Send mmioFlushWb back to FTQ 1 cycle after uncache fetch return 753 // When backend redirect, mmio_state reset after 1 cycle. 754 // In this case, mask .valid to avoid overriding backend redirect 755 mmioFlushWb.valid := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire) && 756 f3_mmio_use_seq_pc && !f3_ftq_flush_self && !f3_ftq_flush_by_older) 757 mmioFlushWb.bits.pc := f3_pc 758 mmioFlushWb.bits.pd := f3_pd 759 mmioFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid := f3_mmio_range(i)} 760 mmioFlushWb.bits.ftqIdx := f3_ftq_req.ftqIdx 761 mmioFlushWb.bits.ftqOffset := f3_ftq_req.ftqOffset.bits 762 mmioFlushWb.bits.misOffset := f3_mmio_missOffset 763 mmioFlushWb.bits.cfiOffset := DontCare 764 mmioFlushWb.bits.target := Mux(mmio_is_RVC, f3_ftq_req.startAddr + 2.U , f3_ftq_req.startAddr + 4.U) 765 mmioFlushWb.bits.jalTarget := DontCare 766 mmioFlushWb.bits.instrRange := f3_mmio_range 767 768 /** external predecode for MMIO instruction */ 769 when(f3_req_is_mmio){ 770 val inst = Cat(f3_mmio_data(1), f3_mmio_data(0)) 771 val currentIsRVC = isRVC(inst) 772 773 val brType::isCall::isRet::Nil = brInfo(inst) 774 val jalOffset = jal_offset(inst, currentIsRVC) 775 val brOffset = br_offset(inst, currentIsRVC) 776 777 io.toIbuffer.bits.instrs(0) := new RVCDecoder(inst, XLEN, useAddiForMv = true).decode.bits 778 779 780 io.toIbuffer.bits.pd(0).valid := true.B 781 io.toIbuffer.bits.pd(0).isRVC := currentIsRVC 782 io.toIbuffer.bits.pd(0).brType := brType 783 io.toIbuffer.bits.pd(0).isCall := isCall 784 io.toIbuffer.bits.pd(0).isRet := isRet 785 786 io.toIbuffer.bits.acf(0) := mmio_resend_af 787 io.toIbuffer.bits.ipf(0) := mmio_resend_pf 788 io.toIbuffer.bits.crossPageIPFFix(0) := mmio_resend_pf 789 790 io.toIbuffer.bits.enqEnable := f3_mmio_range.asUInt 791 792 mmioFlushWb.bits.pd(0).valid := true.B 793 mmioFlushWb.bits.pd(0).isRVC := currentIsRVC 794 mmioFlushWb.bits.pd(0).brType := brType 795 mmioFlushWb.bits.pd(0).isCall := isCall 796 mmioFlushWb.bits.pd(0).isRet := isRet 797 } 798 799 mmio_redirect := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire) && f3_mmio_use_seq_pc) 800 801 XSPerfAccumulate("fetch_bubble_ibuffer_not_ready", io.toIbuffer.valid && !io.toIbuffer.ready ) 802 803 804 /** 805 ****************************************************************************** 806 * IFU Write Back Stage 807 * - write back predecode information to Ftq to update 808 * - redirect if found fault prediction 809 * - redirect if has false hit last half (last PC is not start + 32 Bytes, but in the midle of an notCFI RVI instruction) 810 ****************************************************************************** 811 */ 812 813 val wb_valid = RegNext(RegNext(f2_fire && !f2_flush) && !f3_req_is_mmio && !f3_flush) 814 val wb_ftq_req = RegNext(f3_ftq_req) 815 816 val wb_check_result_stage1 = RegNext(checkerOutStage1) 817 val wb_check_result_stage2 = checkerOutStage2 818 val wb_instr_range = RegNext(io.toIbuffer.bits.enqEnable) 819 val wb_pc = RegNext(f3_pc) 820 val wb_pd = RegNext(f3_pd) 821 val wb_instr_valid = RegNext(f3_instr_valid) 822 823 /* false hit lastHalf */ 824 val wb_lastIdx = RegNext(f3_last_validIdx) 825 val wb_false_lastHalf = RegNext(f3_false_lastHalf) && wb_lastIdx =/= (PredictWidth - 1).U 826 val wb_false_target = RegNext(f3_false_snpc) 827 828 val wb_half_flush = wb_false_lastHalf 829 val wb_half_target = wb_false_target 830 831 /* false oversize */ 832 val lastIsRVC = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool())).last && wb_pd.last.isRVC 833 val lastIsRVI = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool()))(PredictWidth - 2) && !wb_pd(PredictWidth - 2).isRVC 834 val lastTaken = wb_check_result_stage1.fixedTaken.last 835 836 f3_wb_not_flush := wb_ftq_req.ftqIdx === f3_ftq_req.ftqIdx && f3_valid && wb_valid 837 838 /** if a req with a last half but miss predicted enters in wb stage, and this cycle f3 stalls, 839 * we set a flag to notify f3 that the last half flag need not to be set. 840 */ 841 //f3_fire is after wb_valid 842 when(wb_valid && RegNext(f3_hasLastHalf,init = false.B) 843 && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && !f3_fire && !RegNext(f3_fire,init = false.B) && !f3_flush 844 ){ 845 f3_lastHalf_disable := true.B 846 } 847 848 //wb_valid and f3_fire are in same cycle 849 when(wb_valid && RegNext(f3_hasLastHalf,init = false.B) 850 && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && f3_fire 851 ){ 852 f3_lastHalf.valid := false.B 853 } 854 855 val checkFlushWb = Wire(Valid(new PredecodeWritebackBundle)) 856 val checkFlushWbjalTargetIdx = ParallelPriorityEncoder(VecInit(wb_pd.zip(wb_instr_valid).map{case (pd, v) => v && pd.isJal })) 857 val checkFlushWbTargetIdx = ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred) 858 checkFlushWb.valid := wb_valid 859 checkFlushWb.bits.pc := wb_pc 860 checkFlushWb.bits.pd := wb_pd 861 checkFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid := wb_instr_valid(i)} 862 checkFlushWb.bits.ftqIdx := wb_ftq_req.ftqIdx 863 checkFlushWb.bits.ftqOffset := wb_ftq_req.ftqOffset.bits 864 checkFlushWb.bits.misOffset.valid := ParallelOR(wb_check_result_stage2.fixedMissPred) || wb_half_flush 865 checkFlushWb.bits.misOffset.bits := Mux(wb_half_flush, wb_lastIdx, ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred)) 866 checkFlushWb.bits.cfiOffset.valid := ParallelOR(wb_check_result_stage1.fixedTaken) 867 checkFlushWb.bits.cfiOffset.bits := ParallelPriorityEncoder(wb_check_result_stage1.fixedTaken) 868 checkFlushWb.bits.target := Mux(wb_half_flush, wb_half_target, wb_check_result_stage2.fixedTarget(checkFlushWbTargetIdx)) 869 checkFlushWb.bits.jalTarget := wb_check_result_stage2.jalTarget(checkFlushWbjalTargetIdx) 870 checkFlushWb.bits.instrRange := wb_instr_range.asTypeOf(Vec(PredictWidth, Bool())) 871 872 toFtq.pdWb := Mux(wb_valid, checkFlushWb, mmioFlushWb) 873 874 wb_redirect := checkFlushWb.bits.misOffset.valid && wb_valid 875 876 /*write back flush type*/ 877 val checkFaultType = wb_check_result_stage2.faultType 878 val checkJalFault = wb_valid && checkFaultType.map(_.isjalFault).reduce(_||_) 879 val checkRetFault = wb_valid && checkFaultType.map(_.isRetFault).reduce(_||_) 880 val checkTargetFault = wb_valid && checkFaultType.map(_.istargetFault).reduce(_||_) 881 val checkNotCFIFault = wb_valid && checkFaultType.map(_.notCFIFault).reduce(_||_) 882 val checkInvalidTaken = wb_valid && checkFaultType.map(_.invalidTakenFault).reduce(_||_) 883 884 885 XSPerfAccumulate("predecode_flush_jalFault", checkJalFault ) 886 XSPerfAccumulate("predecode_flush_retFault", checkRetFault ) 887 XSPerfAccumulate("predecode_flush_targetFault", checkTargetFault ) 888 XSPerfAccumulate("predecode_flush_notCFIFault", checkNotCFIFault ) 889 XSPerfAccumulate("predecode_flush_incalidTakenFault", checkInvalidTaken ) 890 891 when(checkRetFault){ 892 XSDebug("startAddr:%x nextstartAddr:%x taken:%d takenIdx:%d\n", 893 wb_ftq_req.startAddr, wb_ftq_req.nextStartAddr, wb_ftq_req.ftqOffset.valid, wb_ftq_req.ftqOffset.bits) 894 } 895 896 897 /** performance counter */ 898 val f3_perf_info = RegEnable(f2_perf_info, f2_fire) 899 val f3_req_0 = io.toIbuffer.fire 900 val f3_req_1 = io.toIbuffer.fire && f3_doubleLine 901 val f3_hit_0 = io.toIbuffer.fire && f3_perf_info.bank_hit(0) 902 val f3_hit_1 = io.toIbuffer.fire && f3_doubleLine & f3_perf_info.bank_hit(1) 903 val f3_hit = f3_perf_info.hit 904 val perfEvents = Seq( 905 ("frontendFlush ", wb_redirect ), 906 ("ifu_req ", io.toIbuffer.fire ), 907 ("ifu_miss ", io.toIbuffer.fire && !f3_perf_info.hit ), 908 ("ifu_req_cacheline_0 ", f3_req_0 ), 909 ("ifu_req_cacheline_1 ", f3_req_1 ), 910 ("ifu_req_cacheline_0_hit ", f3_hit_1 ), 911 ("ifu_req_cacheline_1_hit ", f3_hit_1 ), 912 ("only_0_hit ", f3_perf_info.only_0_hit && io.toIbuffer.fire ), 913 ("only_0_miss ", f3_perf_info.only_0_miss && io.toIbuffer.fire ), 914 ("hit_0_hit_1 ", f3_perf_info.hit_0_hit_1 && io.toIbuffer.fire ), 915 ("hit_0_miss_1 ", f3_perf_info.hit_0_miss_1 && io.toIbuffer.fire ), 916 ("miss_0_hit_1 ", f3_perf_info.miss_0_hit_1 && io.toIbuffer.fire ), 917 ("miss_0_miss_1 ", f3_perf_info.miss_0_miss_1 && io.toIbuffer.fire ), 918 ) 919 generatePerfEvent() 920 921 XSPerfAccumulate("ifu_req", io.toIbuffer.fire ) 922 XSPerfAccumulate("ifu_miss", io.toIbuffer.fire && !f3_hit ) 923 XSPerfAccumulate("ifu_req_cacheline_0", f3_req_0 ) 924 XSPerfAccumulate("ifu_req_cacheline_1", f3_req_1 ) 925 XSPerfAccumulate("ifu_req_cacheline_0_hit", f3_hit_0 ) 926 XSPerfAccumulate("ifu_req_cacheline_1_hit", f3_hit_1 ) 927 XSPerfAccumulate("frontendFlush", wb_redirect ) 928 XSPerfAccumulate("only_0_hit", f3_perf_info.only_0_hit && io.toIbuffer.fire ) 929 XSPerfAccumulate("only_0_miss", f3_perf_info.only_0_miss && io.toIbuffer.fire ) 930 XSPerfAccumulate("hit_0_hit_1", f3_perf_info.hit_0_hit_1 && io.toIbuffer.fire ) 931 XSPerfAccumulate("hit_0_miss_1", f3_perf_info.hit_0_miss_1 && io.toIbuffer.fire ) 932 XSPerfAccumulate("miss_0_hit_1", f3_perf_info.miss_0_hit_1 && io.toIbuffer.fire ) 933 XSPerfAccumulate("miss_0_miss_1", f3_perf_info.miss_0_miss_1 && io.toIbuffer.fire ) 934 XSPerfAccumulate("hit_0_except_1", f3_perf_info.hit_0_except_1 && io.toIbuffer.fire ) 935 XSPerfAccumulate("miss_0_except_1", f3_perf_info.miss_0_except_1 && io.toIbuffer.fire ) 936 XSPerfAccumulate("except_0", f3_perf_info.except_0 && io.toIbuffer.fire ) 937 XSPerfHistogram("ifu2ibuffer_validCnt", PopCount(io.toIbuffer.bits.valid & io.toIbuffer.bits.enqEnable), io.toIbuffer.fire, 0, PredictWidth + 1, 1) 938 939 val isWriteFetchToIBufferTable = WireInit(Constantin.createRecord("isWriteFetchToIBufferTable" + p(XSCoreParamsKey).HartId.toString)) 940 val isWriteIfuWbToFtqTable = WireInit(Constantin.createRecord("isWriteIfuWbToFtqTable" + p(XSCoreParamsKey).HartId.toString)) 941 val fetchToIBufferTable = ChiselDB.createTable("FetchToIBuffer" + p(XSCoreParamsKey).HartId.toString, new FetchToIBufferDB) 942 val ifuWbToFtqTable = ChiselDB.createTable("IfuWbToFtq" + p(XSCoreParamsKey).HartId.toString, new IfuWbToFtqDB) 943 944 val fetchIBufferDumpData = Wire(new FetchToIBufferDB) 945 fetchIBufferDumpData.start_addr := f3_ftq_req.startAddr 946 fetchIBufferDumpData.instr_count := PopCount(io.toIbuffer.bits.enqEnable) 947 fetchIBufferDumpData.exception := (f3_perf_info.except_0 && io.toIbuffer.fire) || (f3_perf_info.hit_0_except_1 && io.toIbuffer.fire) || (f3_perf_info.miss_0_except_1 && io.toIbuffer.fire) 948 fetchIBufferDumpData.is_cache_hit := f3_hit 949 950 val ifuWbToFtqDumpData = Wire(new IfuWbToFtqDB) 951 ifuWbToFtqDumpData.start_addr := wb_ftq_req.startAddr 952 ifuWbToFtqDumpData.is_miss_pred := checkFlushWb.bits.misOffset.valid 953 ifuWbToFtqDumpData.miss_pred_offset := checkFlushWb.bits.misOffset.bits 954 ifuWbToFtqDumpData.checkJalFault := checkJalFault 955 ifuWbToFtqDumpData.checkRetFault := checkRetFault 956 ifuWbToFtqDumpData.checkTargetFault := checkTargetFault 957 ifuWbToFtqDumpData.checkNotCFIFault := checkNotCFIFault 958 ifuWbToFtqDumpData.checkInvalidTaken := checkInvalidTaken 959 960 fetchToIBufferTable.log( 961 data = fetchIBufferDumpData, 962 en = isWriteFetchToIBufferTable.orR && io.toIbuffer.fire, 963 site = "IFU" + p(XSCoreParamsKey).HartId.toString, 964 clock = clock, 965 reset = reset 966 ) 967 ifuWbToFtqTable.log( 968 data = ifuWbToFtqDumpData, 969 en = isWriteIfuWbToFtqTable.orR && checkFlushWb.valid, 970 site = "IFU" + p(XSCoreParamsKey).HartId.toString, 971 clock = clock, 972 reset = reset 973 ) 974 975} 976