xref: /XiangShan/src/main/scala/xiangshan/frontend/IFU.scala (revision 211d620b07edb797ba35b635d24fef4e7294bae2)
1/***************************************************************************************
2* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
4* Copyright (c) 2020-2021 Peng Cheng Laboratory
5*
6* XiangShan is licensed under Mulan PSL v2.
7* You can use this software according to the terms and conditions of the Mulan PSL v2.
8* You may obtain a copy of Mulan PSL v2 at:
9*          http://license.coscl.org.cn/MulanPSL2
10*
11* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
12* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
13* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
14*
15* See the Mulan PSL v2 for more details.
16***************************************************************************************/
17
18package xiangshan.frontend
19
20import chisel3._
21import chisel3.util._
22import org.chipsalliance.cde.config.Parameters
23import utility._
24import utility.ChiselDB
25import xiangshan._
26import xiangshan.backend.GPAMemEntry
27import xiangshan.cache.mmu._
28import xiangshan.frontend.icache._
29
30trait HasInstrMMIOConst extends HasXSParameter with HasIFUConst {
31  def mmioBusWidth = 64
32  def mmioBusBytes = mmioBusWidth / 8
33  def maxInstrLen  = 32
34}
35
36trait HasIFUConst extends HasXSParameter {
37  def addrAlign(addr: UInt, bytes: Int, highest: Int): UInt =
38    Cat(addr(highest - 1, log2Ceil(bytes)), 0.U(log2Ceil(bytes).W))
39  def fetchQueueSize = 2
40
41  def getBasicBlockIdx(pc: UInt, start: UInt): UInt = {
42    val byteOffset = pc - start
43    (byteOffset - instBytes.U)(log2Ceil(PredictWidth), instOffsetBits)
44  }
45}
46
47class IfuToFtqIO(implicit p: Parameters) extends XSBundle {
48  val pdWb = Valid(new PredecodeWritebackBundle)
49}
50
51class IfuToBackendIO(implicit p: Parameters) extends XSBundle {
52  // write to backend gpaddr mem
53  val gpaddrMem_wen   = Output(Bool())
54  val gpaddrMem_waddr = Output(UInt(log2Ceil(FtqSize).W)) // Ftq Ptr
55  // 2 gpaddrs, correspond to startAddr & nextLineAddr in bundle FtqICacheInfo
56  // TODO: avoid cross page entry in Ftq
57  val gpaddrMem_wdata = Output(new GPAMemEntry)
58}
59
60class FtqInterface(implicit p: Parameters) extends XSBundle {
61  val fromFtq = Flipped(new FtqToIfuIO)
62  val toFtq   = new IfuToFtqIO
63}
64
65class UncacheInterface(implicit p: Parameters) extends XSBundle {
66  val fromUncache = Flipped(DecoupledIO(new InsUncacheResp))
67  val toUncache   = DecoupledIO(new InsUncacheReq)
68}
69
70class NewIFUIO(implicit p: Parameters) extends XSBundle {
71  val ftqInter        = new FtqInterface
72  val icacheInter     = Flipped(new IFUICacheIO)
73  val icacheStop      = Output(Bool())
74  val icachePerfInfo  = Input(new ICachePerfInfo)
75  val toIbuffer       = Decoupled(new FetchToIBuffer)
76  val toBackend       = new IfuToBackendIO
77  val uncacheInter    = new UncacheInterface
78  val frontendTrigger = Flipped(new FrontendTdataDistributeIO)
79  val rob_commits     = Flipped(Vec(CommitWidth, Valid(new RobCommitInfo)))
80  val iTLBInter       = new TlbRequestIO
81  val pmp             = new ICachePMPBundle
82  val mmioCommitRead  = new mmioCommitRead
83}
84
85// record the situation in which fallThruAddr falls into
86// the middle of an RVI inst
87class LastHalfInfo(implicit p: Parameters) extends XSBundle {
88  val valid    = Bool()
89  val middlePC = UInt(VAddrBits.W)
90  def matchThisBlock(startAddr: UInt) = valid && middlePC === startAddr
91}
92
93class IfuToPreDecode(implicit p: Parameters) extends XSBundle {
94  val data            = if (HasCExtension) Vec(PredictWidth + 1, UInt(16.W)) else Vec(PredictWidth, UInt(32.W))
95  val frontendTrigger = new FrontendTdataDistributeIO
96  val pc              = Vec(PredictWidth, UInt(VAddrBits.W))
97}
98
99class IfuToPredChecker(implicit p: Parameters) extends XSBundle {
100  val ftqOffset  = Valid(UInt(log2Ceil(PredictWidth).W))
101  val jumpOffset = Vec(PredictWidth, UInt(XLEN.W))
102  val target     = UInt(VAddrBits.W)
103  val instrRange = Vec(PredictWidth, Bool())
104  val instrValid = Vec(PredictWidth, Bool())
105  val pds        = Vec(PredictWidth, new PreDecodeInfo)
106  val pc         = Vec(PredictWidth, UInt(VAddrBits.W))
107  val fire_in    = Bool()
108}
109
110class FetchToIBufferDB extends Bundle {
111  val start_addr   = UInt(39.W)
112  val instr_count  = UInt(32.W)
113  val exception    = Bool()
114  val is_cache_hit = Bool()
115}
116
117class IfuWbToFtqDB extends Bundle {
118  val start_addr        = UInt(39.W)
119  val is_miss_pred      = Bool()
120  val miss_pred_offset  = UInt(32.W)
121  val checkJalFault     = Bool()
122  val checkRetFault     = Bool()
123  val checkTargetFault  = Bool()
124  val checkNotCFIFault  = Bool()
125  val checkInvalidTaken = Bool()
126}
127
128class NewIFU(implicit p: Parameters) extends XSModule
129    with HasICacheParameters
130    with HasXSParameter
131    with HasIFUConst
132    with HasPdConst
133    with HasCircularQueuePtrHelper
134    with HasPerfEvents
135    with HasTlbConst {
136  val io                       = IO(new NewIFUIO)
137  val (toFtq, fromFtq)         = (io.ftqInter.toFtq, io.ftqInter.fromFtq)
138  val fromICache               = io.icacheInter.resp
139  val (toUncache, fromUncache) = (io.uncacheInter.toUncache, io.uncacheInter.fromUncache)
140
141  def isCrossLineReq(start: UInt, end: UInt): Bool = start(blockOffBits) ^ end(blockOffBits)
142
143  def numOfStage = 3
144  // equal lower_result overflow bit
145  def PcCutPoint = (VAddrBits / 4) - 1
146  def CatPC(low: UInt, high: UInt, high1: UInt): UInt =
147    Mux(
148      low(PcCutPoint),
149      Cat(high1, low(PcCutPoint - 1, 0)),
150      Cat(high, low(PcCutPoint - 1, 0))
151    )
152  def CatPC(lowVec: Vec[UInt], high: UInt, high1: UInt): Vec[UInt] = VecInit(lowVec.map(CatPC(_, high, high1)))
153  require(numOfStage > 1, "BPU numOfStage must be greater than 1")
154  val topdown_stages = RegInit(VecInit(Seq.fill(numOfStage)(0.U.asTypeOf(new FrontendTopDownBundle))))
155  // bubble events in IFU, only happen in stage 1
156  val icacheMissBubble = Wire(Bool())
157  val itlbMissBubble   = Wire(Bool())
158
159  // only driven by clock, not valid-ready
160  topdown_stages(0) := fromFtq.req.bits.topdown_info
161  for (i <- 1 until numOfStage) {
162    topdown_stages(i) := topdown_stages(i - 1)
163  }
164  when(icacheMissBubble) {
165    topdown_stages(1).reasons(TopDownCounters.ICacheMissBubble.id) := true.B
166  }
167  when(itlbMissBubble) {
168    topdown_stages(1).reasons(TopDownCounters.ITLBMissBubble.id) := true.B
169  }
170  io.toIbuffer.bits.topdown_info := topdown_stages(numOfStage - 1)
171  when(fromFtq.topdown_redirect.valid) {
172    // only redirect from backend, IFU redirect itself is handled elsewhere
173    when(fromFtq.topdown_redirect.bits.debugIsCtrl) {
174      /*
175      for (i <- 0 until numOfStage) {
176        topdown_stages(i).reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
177      }
178      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
179       */
180      when(fromFtq.topdown_redirect.bits.ControlBTBMissBubble) {
181        for (i <- 0 until numOfStage) {
182          topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
183        }
184        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
185      }.elsewhen(fromFtq.topdown_redirect.bits.TAGEMissBubble) {
186        for (i <- 0 until numOfStage) {
187          topdown_stages(i).reasons(TopDownCounters.TAGEMissBubble.id) := true.B
188        }
189        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
190      }.elsewhen(fromFtq.topdown_redirect.bits.SCMissBubble) {
191        for (i <- 0 until numOfStage) {
192          topdown_stages(i).reasons(TopDownCounters.SCMissBubble.id) := true.B
193        }
194        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.SCMissBubble.id) := true.B
195      }.elsewhen(fromFtq.topdown_redirect.bits.ITTAGEMissBubble) {
196        for (i <- 0 until numOfStage) {
197          topdown_stages(i).reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
198        }
199        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
200      }.elsewhen(fromFtq.topdown_redirect.bits.RASMissBubble) {
201        for (i <- 0 until numOfStage) {
202          topdown_stages(i).reasons(TopDownCounters.RASMissBubble.id) := true.B
203        }
204        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.RASMissBubble.id) := true.B
205      }
206    }.elsewhen(fromFtq.topdown_redirect.bits.debugIsMemVio) {
207      for (i <- 0 until numOfStage) {
208        topdown_stages(i).reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
209      }
210      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
211    }.otherwise {
212      for (i <- 0 until numOfStage) {
213        topdown_stages(i).reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
214      }
215      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
216    }
217  }
218
219  class TlbExept(implicit p: Parameters) extends XSBundle {
220    val pageFault   = Bool()
221    val accessFault = Bool()
222    val mmio        = Bool()
223  }
224
225  val preDecoder = Module(new PreDecode)
226
227  val predChecker     = Module(new PredChecker)
228  val frontendTrigger = Module(new FrontendTrigger)
229  val (checkerIn, checkerOutStage1, checkerOutStage2) =
230    (predChecker.io.in, predChecker.io.out.stage1Out, predChecker.io.out.stage2Out)
231
232  /**
233    ******************************************************************************
234    * IFU Stage 0
235    * - send cacheline fetch request to ICacheMainPipe
236    ******************************************************************************
237    */
238
239  val f0_valid      = fromFtq.req.valid
240  val f0_ftq_req    = fromFtq.req.bits
241  val f0_doubleLine = fromFtq.req.bits.crossCacheline
242  val f0_vSetIdx    = VecInit(get_idx(f0_ftq_req.startAddr), get_idx(f0_ftq_req.nextlineStart))
243  val f0_fire       = fromFtq.req.fire
244
245  val f0_flush, f1_flush, f2_flush, f3_flush                                     = WireInit(false.B)
246  val from_bpu_f0_flush, from_bpu_f1_flush, from_bpu_f2_flush, from_bpu_f3_flush = WireInit(false.B)
247
248  from_bpu_f0_flush := fromFtq.flushFromBpu.shouldFlushByStage2(f0_ftq_req.ftqIdx) ||
249    fromFtq.flushFromBpu.shouldFlushByStage3(f0_ftq_req.ftqIdx)
250
251  val wb_redirect, mmio_redirect, backend_redirect = WireInit(false.B)
252  val f3_wb_not_flush                              = WireInit(false.B)
253
254  backend_redirect := fromFtq.redirect.valid
255  f3_flush         := backend_redirect || (wb_redirect && !f3_wb_not_flush)
256  f2_flush         := backend_redirect || mmio_redirect || wb_redirect
257  f1_flush         := f2_flush || from_bpu_f1_flush
258  f0_flush         := f1_flush || from_bpu_f0_flush
259
260  val f1_ready, f2_ready, f3_ready = WireInit(false.B)
261
262  fromFtq.req.ready := f1_ready && io.icacheInter.icacheReady
263
264  when(wb_redirect) {
265    when(f3_wb_not_flush) {
266      topdown_stages(2).reasons(TopDownCounters.BTBMissBubble.id) := true.B
267    }
268    for (i <- 0 until numOfStage - 1) {
269      topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
270    }
271  }
272
273  /** <PERF> f0 fetch bubble */
274
275  XSPerfAccumulate("fetch_bubble_ftq_not_valid", !fromFtq.req.valid && fromFtq.req.ready)
276  // XSPerfAccumulate("fetch_bubble_pipe_stall",    f0_valid && toICache(0).ready && toICache(1).ready && !f1_ready )
277  // XSPerfAccumulate("fetch_bubble_icache_0_busy",   f0_valid && !toICache(0).ready  )
278  // XSPerfAccumulate("fetch_bubble_icache_1_busy",   f0_valid && !toICache(1).ready  )
279  XSPerfAccumulate("fetch_flush_backend_redirect", backend_redirect)
280  XSPerfAccumulate("fetch_flush_wb_redirect", wb_redirect)
281  XSPerfAccumulate("fetch_flush_bpu_f1_flush", from_bpu_f1_flush)
282  XSPerfAccumulate("fetch_flush_bpu_f0_flush", from_bpu_f0_flush)
283
284  /**
285    ******************************************************************************
286    * IFU Stage 1
287    * - calculate pc/half_pc/cut_ptr for every instruction
288    ******************************************************************************
289    */
290
291  val f1_valid   = RegInit(false.B)
292  val f1_ftq_req = RegEnable(f0_ftq_req, f0_fire)
293  // val f1_situation  = RegEnable(f0_situation,  f0_fire)
294  val f1_doubleLine = RegEnable(f0_doubleLine, f0_fire)
295  val f1_vSetIdx    = RegEnable(f0_vSetIdx, f0_fire)
296  val f1_fire       = f1_valid && f2_ready
297
298  f1_ready := f1_fire || !f1_valid
299
300  from_bpu_f1_flush := fromFtq.flushFromBpu.shouldFlushByStage3(f1_ftq_req.ftqIdx) && f1_valid
301  // from_bpu_f1_flush := false.B
302
303  when(f1_flush)(f1_valid := false.B)
304    .elsewhen(f0_fire && !f0_flush)(f1_valid := true.B)
305    .elsewhen(f1_fire)(f1_valid := false.B)
306
307  val f1_pc_high       = f1_ftq_req.startAddr(VAddrBits - 1, PcCutPoint)
308  val f1_pc_high_plus1 = f1_pc_high + 1.U
309
310  /**
311   * In order to reduce power consumption, avoid calculating the full PC value in the first level.
312   * code of original logic, this code has been deprecated
313   * val f1_pc                 = VecInit(f1_pc_lower_result.map{ i =>
314   *  Mux(i(f1_pc_adder_cut_point), Cat(f1_pc_high_plus1,i(f1_pc_adder_cut_point-1,0)), Cat(f1_pc_high,i(f1_pc_adder_cut_point-1,0)))})
315   */
316  val f1_pc_lower_result = VecInit((0 until PredictWidth).map(i =>
317    Cat(0.U(1.W), f1_ftq_req.startAddr(PcCutPoint - 1, 0)) + (i * 2).U
318  )) // cat with overflow bit
319
320  val f1_pc = CatPC(f1_pc_lower_result, f1_pc_high, f1_pc_high_plus1)
321
322  val f1_half_snpc_lower_result = VecInit((0 until PredictWidth).map(i =>
323    Cat(0.U(1.W), f1_ftq_req.startAddr(PcCutPoint - 1, 0)) + ((i + 2) * 2).U
324  )) // cat with overflow bit
325  val f1_half_snpc = CatPC(f1_half_snpc_lower_result, f1_pc_high, f1_pc_high_plus1)
326
327  if (env.FPGAPlatform) {
328    val f1_pc_diff        = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + (i * 2).U))
329    val f1_half_snpc_diff = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + ((i + 2) * 2).U))
330
331    XSError(
332      f1_pc.zip(f1_pc_diff).map { case (a, b) => a.asUInt =/= b.asUInt }.reduce(_ || _),
333      "f1_half_snpc adder cut fail"
334    )
335    XSError(
336      f1_half_snpc.zip(f1_half_snpc_diff).map { case (a, b) => a.asUInt =/= b.asUInt }.reduce(_ || _),
337      "f1_half_snpc adder cut fail"
338    )
339  }
340
341  val f1_cut_ptr = if (HasCExtension)
342    VecInit((0 until PredictWidth + 1).map(i => Cat(0.U(2.W), f1_ftq_req.startAddr(blockOffBits - 1, 1)) + i.U))
343  else VecInit((0 until PredictWidth).map(i => Cat(0.U(2.W), f1_ftq_req.startAddr(blockOffBits - 1, 2)) + i.U))
344
345  /**
346    ******************************************************************************
347    * IFU Stage 2
348    * - icache response data (latched for pipeline stop)
349    * - generate exceprion bits for every instruciton (page fault/access fault/mmio)
350    * - generate predicted instruction range (1 means this instruciton is in this fetch packet)
351    * - cut data from cachlines to packet instruction code
352    * - instruction predecode and RVC expand
353    ******************************************************************************
354    */
355
356  val icacheRespAllValid = WireInit(false.B)
357
358  val f2_valid   = RegInit(false.B)
359  val f2_ftq_req = RegEnable(f1_ftq_req, f1_fire)
360  // val f2_situation  = RegEnable(f1_situation,  f1_fire)
361  val f2_doubleLine = RegEnable(f1_doubleLine, f1_fire)
362  val f2_vSetIdx    = RegEnable(f1_vSetIdx, f1_fire)
363  val f2_fire       = f2_valid && f3_ready && icacheRespAllValid
364
365  f2_ready := f2_fire || !f2_valid
366  // TODO: addr compare may be timing critical
367  val f2_icache_all_resp_wire =
368    fromICache(0).valid && (fromICache(0).bits.vaddr === f2_ftq_req.startAddr) && ((fromICache(1).valid && (fromICache(
369      1
370    ).bits.vaddr === f2_ftq_req.nextlineStart)) || !f2_doubleLine)
371  val f2_icache_all_resp_reg = RegInit(false.B)
372
373  icacheRespAllValid := f2_icache_all_resp_reg || f2_icache_all_resp_wire
374
375  icacheMissBubble := io.icacheInter.topdownIcacheMiss
376  itlbMissBubble   := io.icacheInter.topdownItlbMiss
377
378  io.icacheStop := !f3_ready
379
380  when(f2_flush)(f2_icache_all_resp_reg := false.B)
381    .elsewhen(f2_valid && f2_icache_all_resp_wire && !f3_ready)(f2_icache_all_resp_reg := true.B)
382    .elsewhen(f2_fire && f2_icache_all_resp_reg)(f2_icache_all_resp_reg := false.B)
383
384  when(f2_flush)(f2_valid := false.B)
385    .elsewhen(f1_fire && !f1_flush)(f2_valid := true.B)
386    .elsewhen(f2_fire)(f2_valid := false.B)
387
388  val f2_exception          = VecInit((0 until PortNumber).map(i => fromICache(i).bits.exception))
389  val f2_except_fromBackend = fromICache(0).bits.exceptionFromBackend
390  // paddr and gpaddr of [startAddr, nextLineAddr]
391  val f2_paddrs            = VecInit((0 until PortNumber).map(i => fromICache(i).bits.paddr))
392  val f2_gpaddr            = fromICache(0).bits.gpaddr
393  val f2_isForVSnonLeafPTE = fromICache(0).bits.isForVSnonLeafPTE
394
395  // FIXME: what if port 0 is not mmio, but port 1 is?
396  // cancel mmio fetch if exception occurs
397  val f2_mmio = f2_exception(0) === ExceptionType.none && (
398    fromICache(0).bits.pmp_mmio ||
399      // currently, we do not distinguish between Pbmt.nc and Pbmt.io
400      // anyway, they are both non-cacheable, and should be handled with mmio fsm and sent to Uncache module
401      Pbmt.isUncache(fromICache(0).bits.itlb_pbmt)
402  )
403
404  /**
405    * reduce the number of registers, origin code
406    * f2_pc = RegEnable(f1_pc, f1_fire)
407    */
408  val f2_pc_lower_result = RegEnable(f1_pc_lower_result, f1_fire)
409  val f2_pc_high         = RegEnable(f1_pc_high, f1_fire)
410  val f2_pc_high_plus1   = RegEnable(f1_pc_high_plus1, f1_fire)
411  val f2_pc              = CatPC(f2_pc_lower_result, f2_pc_high, f2_pc_high_plus1)
412
413  val f2_cut_ptr      = RegEnable(f1_cut_ptr, f1_fire)
414  val f2_resend_vaddr = RegEnable(f1_ftq_req.startAddr + 2.U, f1_fire)
415
416  def isNextLine(pc: UInt, startAddr: UInt) =
417    startAddr(blockOffBits) ^ pc(blockOffBits)
418
419  def isLastInLine(pc: UInt) =
420    pc(blockOffBits - 1, 0) === "b111110".U
421
422  val f2_foldpc = VecInit(f2_pc.map(i => XORFold(i(VAddrBits - 1, 1), MemPredPCWidth)))
423  val f2_jump_range =
424    Fill(PredictWidth, !f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~f2_ftq_req.ftqOffset.bits
425  val f2_ftr_range = Fill(PredictWidth, f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~getBasicBlockIdx(
426    f2_ftq_req.nextStartAddr,
427    f2_ftq_req.startAddr
428  )
429  val f2_instr_range = f2_jump_range & f2_ftr_range
430  val f2_exception_vec = VecInit((0 until PredictWidth).map(i =>
431    MuxCase(
432      ExceptionType.none,
433      Seq(
434        !isNextLine(f2_pc(i), f2_ftq_req.startAddr)                   -> f2_exception(0),
435        (isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine) -> f2_exception(1)
436      )
437    )
438  ))
439  val f2_perf_info = io.icachePerfInfo
440
441  def cut(cacheline: UInt, cutPtr: Vec[UInt]): Vec[UInt] = {
442    require(HasCExtension)
443    // if(HasCExtension){
444    val result  = Wire(Vec(PredictWidth + 1, UInt(16.W)))
445    val dataVec = cacheline.asTypeOf(Vec(blockBytes, UInt(16.W))) // 32 16-bit data vector
446    (0 until PredictWidth + 1).foreach(i =>
447      result(i) := dataVec(cutPtr(i)) // the max ptr is 3*blockBytes/4-1
448    )
449    result
450    // } else {
451    //   val result   = Wire(Vec(PredictWidth, UInt(32.W)) )
452    //   val dataVec  = cacheline.asTypeOf(Vec(blockBytes * 2/ 4, UInt(32.W)))
453    //   (0 until PredictWidth).foreach( i =>
454    //     result(i) := dataVec(cutPtr(i))
455    //   )
456    //   result
457    // }
458  }
459
460  val f2_cache_response_data = fromICache.map(_.bits.data)
461  val f2_data_2_cacheline    = Cat(f2_cache_response_data(0), f2_cache_response_data(0))
462
463  val f2_cut_data = cut(f2_data_2_cacheline, f2_cut_ptr)
464
465  /** predecode (include RVC expander) */
466  // preDecoderRegIn.data := f2_reg_cut_data
467  // preDecoderRegInIn.frontendTrigger := io.frontendTrigger
468  // preDecoderRegInIn.csrTriggerEnable := io.csrTriggerEnable
469  // preDecoderRegIn.pc  := f2_pc
470
471  val preDecoderIn = preDecoder.io.in
472  preDecoderIn.valid                := f2_valid
473  preDecoderIn.bits.data            := f2_cut_data
474  preDecoderIn.bits.frontendTrigger := io.frontendTrigger
475  preDecoderIn.bits.pc              := f2_pc
476  val preDecoderOut = preDecoder.io.out
477
478  // val f2_expd_instr     = preDecoderOut.expInstr
479  val f2_instr        = preDecoderOut.instr
480  val f2_pd           = preDecoderOut.pd
481  val f2_jump_offset  = preDecoderOut.jumpOffset
482  val f2_hasHalfValid = preDecoderOut.hasHalfValid
483  /* if there is a cross-page RVI instruction, and the former page has no exception,
484   * whether it has exception is actually depends on the latter page
485   */
486  val f2_crossPage_exception_vec = VecInit((0 until PredictWidth).map { i =>
487    Mux(
488      isLastInLine(f2_pc(i)) && !f2_pd(i).isRVC && f2_doubleLine && f2_exception(0) === ExceptionType.none,
489      f2_exception(1),
490      ExceptionType.none
491    )
492  })
493  XSPerfAccumulate("fetch_bubble_icache_not_resp", f2_valid && !icacheRespAllValid)
494
495  /**
496    ******************************************************************************
497    * IFU Stage 3
498    * - handle MMIO instruciton
499    *  -send request to Uncache fetch Unit
500    *  -every packet include 1 MMIO instruction
501    *  -MMIO instructions will stop fetch pipeline until commiting from RoB
502    *  -flush to snpc (send ifu_redirect to Ftq)
503    * - Ibuffer enqueue
504    * - check predict result in Frontend (jalFault/retFault/notCFIFault/invalidTakenFault/targetFault)
505    * - handle last half RVI instruction
506    ******************************************************************************
507    */
508
509  val expanders = Seq.fill(PredictWidth)(Module(new RVCExpander))
510
511  val f3_valid   = RegInit(false.B)
512  val f3_ftq_req = RegEnable(f2_ftq_req, f2_fire)
513  // val f3_situation      = RegEnable(f2_situation,  f2_fire)
514  val f3_doubleLine = RegEnable(f2_doubleLine, f2_fire)
515  val f3_fire       = io.toIbuffer.fire
516
517  val f3_cut_data = RegEnable(f2_cut_data, f2_fire)
518
519  val f3_exception          = RegEnable(f2_exception, f2_fire)
520  val f3_mmio               = RegEnable(f2_mmio, f2_fire)
521  val f3_except_fromBackend = RegEnable(f2_except_fromBackend, f2_fire)
522
523  val f3_instr = RegEnable(f2_instr, f2_fire)
524
525  expanders.zipWithIndex.foreach { case (expander, i) =>
526    expander.io.in := f3_instr(i)
527  }
528  // Use expanded instruction only when input is legal.
529  // Otherwise use origin illegal RVC instruction.
530  val f3_expd_instr = VecInit(expanders.map { expander: RVCExpander =>
531    Mux(expander.io.ill, expander.io.in, expander.io.out.bits)
532  })
533  val f3_ill = VecInit(expanders.map(_.io.ill))
534
535  val f3_pd_wire                 = RegEnable(f2_pd, f2_fire)
536  val f3_pd                      = WireInit(f3_pd_wire)
537  val f3_jump_offset             = RegEnable(f2_jump_offset, f2_fire)
538  val f3_exception_vec           = RegEnable(f2_exception_vec, f2_fire)
539  val f3_crossPage_exception_vec = RegEnable(f2_crossPage_exception_vec, f2_fire)
540
541  val f3_pc_lower_result = RegEnable(f2_pc_lower_result, f2_fire)
542  val f3_pc_high         = RegEnable(f2_pc_high, f2_fire)
543  val f3_pc_high_plus1   = RegEnable(f2_pc_high_plus1, f2_fire)
544  val f3_pc              = CatPC(f3_pc_lower_result, f3_pc_high, f3_pc_high_plus1)
545
546  val f3_pc_last_lower_result_plus2 = RegEnable(f2_pc_lower_result(PredictWidth - 1) + 2.U, f2_fire)
547  val f3_pc_last_lower_result_plus4 = RegEnable(f2_pc_lower_result(PredictWidth - 1) + 4.U, f2_fire)
548  // val f3_half_snpc      = RegEnable(f2_half_snpc,   f2_fire)
549
550  /**
551    ***********************************************************************
552    * Half snpc(i) is larger than pc(i) by 4. Using pc to calculate half snpc may be a good choice.
553    ***********************************************************************
554    */
555  val f3_half_snpc = Wire(Vec(PredictWidth, UInt(VAddrBits.W)))
556  for (i <- 0 until PredictWidth) {
557    if (i == (PredictWidth - 2)) {
558      f3_half_snpc(i) := CatPC(f3_pc_last_lower_result_plus2, f3_pc_high, f3_pc_high_plus1)
559    } else if (i == (PredictWidth - 1)) {
560      f3_half_snpc(i) := CatPC(f3_pc_last_lower_result_plus4, f3_pc_high, f3_pc_high_plus1)
561    } else {
562      f3_half_snpc(i) := f3_pc(i + 2)
563    }
564  }
565
566  val f3_instr_range       = RegEnable(f2_instr_range, f2_fire)
567  val f3_foldpc            = RegEnable(f2_foldpc, f2_fire)
568  val f3_hasHalfValid      = RegEnable(f2_hasHalfValid, f2_fire)
569  val f3_paddrs            = RegEnable(f2_paddrs, f2_fire)
570  val f3_gpaddr            = RegEnable(f2_gpaddr, f2_fire)
571  val f3_isForVSnonLeafPTE = RegEnable(f2_isForVSnonLeafPTE, f2_fire)
572  val f3_resend_vaddr      = RegEnable(f2_resend_vaddr, f2_fire)
573
574  // Expand 1 bit to prevent overflow when assert
575  val f3_ftq_req_startAddr     = Cat(0.U(1.W), f3_ftq_req.startAddr)
576  val f3_ftq_req_nextStartAddr = Cat(0.U(1.W), f3_ftq_req.nextStartAddr)
577  // brType, isCall and isRet generation is delayed to f3 stage
578  val f3Predecoder = Module(new F3Predecoder)
579
580  f3Predecoder.io.in.instr := f3_instr
581
582  f3_pd.zipWithIndex.map { case (pd, i) =>
583    pd.brType := f3Predecoder.io.out.pd(i).brType
584    pd.isCall := f3Predecoder.io.out.pd(i).isCall
585    pd.isRet  := f3Predecoder.io.out.pd(i).isRet
586  }
587
588  val f3PdDiff = f3_pd_wire.zip(f3_pd).map { case (a, b) => a.asUInt =/= b.asUInt }.reduce(_ || _)
589  XSError(f3_valid && f3PdDiff, "f3 pd diff")
590
591  when(f3_valid && !f3_ftq_req.ftqOffset.valid) {
592    assert(
593      f3_ftq_req_startAddr + (2 * PredictWidth).U >= f3_ftq_req_nextStartAddr,
594      s"More tha ${2 * PredictWidth} Bytes fetch is not allowed!"
595    )
596  }
597
598  /*** MMIO State Machine***/
599  val f3_mmio_data                  = Reg(Vec(2, UInt(16.W)))
600  val mmio_is_RVC                   = RegInit(false.B)
601  val mmio_resend_addr              = RegInit(0.U(PAddrBits.W))
602  val mmio_resend_exception         = RegInit(0.U(ExceptionType.width.W))
603  val mmio_resend_gpaddr            = RegInit(0.U(GPAddrBits.W))
604  val mmio_resend_isForVSnonLeafPTE = RegInit(false.B)
605
606  // last instuction finish
607  val is_first_instr = RegInit(true.B)
608
609  /*** Determine whether the MMIO instruction is executable based on the previous prediction block ***/
610  io.mmioCommitRead.mmioFtqPtr := RegNext(f3_ftq_req.ftqIdx - 1.U)
611
612  val m_idle :: m_waitLastCmt :: m_sendReq :: m_waitResp :: m_sendTLB :: m_tlbResp :: m_sendPMP :: m_resendReq :: m_waitResendResp :: m_waitCommit :: m_commited :: Nil =
613    Enum(11)
614  val mmio_state = RegInit(m_idle)
615
616  val f3_req_is_mmio = f3_mmio && f3_valid
617  val mmio_commit = VecInit(io.rob_commits.map { commit =>
618    commit.valid && commit.bits.ftqIdx === f3_ftq_req.ftqIdx && commit.bits.ftqOffset === 0.U
619  }).asUInt.orR
620  val f3_mmio_req_commit = f3_req_is_mmio && mmio_state === m_commited
621
622  val f3_mmio_to_commit      = f3_req_is_mmio && mmio_state === m_waitCommit
623  val f3_mmio_to_commit_next = RegNext(f3_mmio_to_commit)
624  val f3_mmio_can_go         = f3_mmio_to_commit && !f3_mmio_to_commit_next
625
626  val fromFtqRedirectReg = Wire(fromFtq.redirect.cloneType)
627  fromFtqRedirectReg.bits := RegEnable(
628    fromFtq.redirect.bits,
629    0.U.asTypeOf(fromFtq.redirect.bits),
630    fromFtq.redirect.valid
631  )
632  fromFtqRedirectReg.valid := RegNext(fromFtq.redirect.valid, init = false.B)
633  val mmioF3Flush           = RegNext(f3_flush, init = false.B)
634  val f3_ftq_flush_self     = fromFtqRedirectReg.valid && RedirectLevel.flushItself(fromFtqRedirectReg.bits.level)
635  val f3_ftq_flush_by_older = fromFtqRedirectReg.valid && isBefore(fromFtqRedirectReg.bits.ftqIdx, f3_ftq_req.ftqIdx)
636
637  val f3_need_not_flush = f3_req_is_mmio && fromFtqRedirectReg.valid && !f3_ftq_flush_self && !f3_ftq_flush_by_older
638
639  /**
640    **********************************************************************************
641    * We want to defer instruction fetching when encountering MMIO instructions to ensure that the MMIO region is not negatively impacted.
642    * This is the exception when the first instruction is an MMIO instruction.
643    **********************************************************************************
644    */
645  when(is_first_instr && f3_fire) {
646    is_first_instr := false.B
647  }
648
649  when(f3_flush && !f3_req_is_mmio)(f3_valid := false.B)
650    .elsewhen(mmioF3Flush && f3_req_is_mmio && !f3_need_not_flush)(f3_valid := false.B)
651    .elsewhen(f2_fire && !f2_flush)(f3_valid := true.B)
652    .elsewhen(io.toIbuffer.fire && !f3_req_is_mmio)(f3_valid := false.B)
653    .elsewhen(f3_req_is_mmio && f3_mmio_req_commit)(f3_valid := false.B)
654
655  val f3_mmio_use_seq_pc = RegInit(false.B)
656
657  val (redirect_ftqIdx, redirect_ftqOffset) = (fromFtqRedirectReg.bits.ftqIdx, fromFtqRedirectReg.bits.ftqOffset)
658  val redirect_mmio_req =
659    fromFtqRedirectReg.valid && redirect_ftqIdx === f3_ftq_req.ftqIdx && redirect_ftqOffset === 0.U
660
661  when(RegNext(f2_fire && !f2_flush) && f3_req_is_mmio)(f3_mmio_use_seq_pc := true.B)
662    .elsewhen(redirect_mmio_req)(f3_mmio_use_seq_pc := false.B)
663
664  f3_ready := (io.toIbuffer.ready && (f3_mmio_req_commit || !f3_req_is_mmio)) || !f3_valid
665
666  // mmio state machine
667  switch(mmio_state) {
668    is(m_idle) {
669      when(f3_req_is_mmio) {
670        mmio_state := m_waitLastCmt
671      }
672    }
673
674    is(m_waitLastCmt) {
675      when(is_first_instr) {
676        mmio_state := m_sendReq
677      }.otherwise {
678        mmio_state := Mux(io.mmioCommitRead.mmioLastCommit, m_sendReq, m_waitLastCmt)
679      }
680    }
681
682    is(m_sendReq) {
683      mmio_state := Mux(toUncache.fire, m_waitResp, m_sendReq)
684    }
685
686    is(m_waitResp) {
687      when(fromUncache.fire) {
688        val isRVC      = fromUncache.bits.data(1, 0) =/= 3.U
689        val needResend = !isRVC && f3_paddrs(0)(2, 1) === 3.U
690        mmio_state      := Mux(needResend, m_sendTLB, m_waitCommit)
691        mmio_is_RVC     := isRVC
692        f3_mmio_data(0) := fromUncache.bits.data(15, 0)
693        f3_mmio_data(1) := fromUncache.bits.data(31, 16)
694      }
695    }
696
697    is(m_sendTLB) {
698      mmio_state := Mux(io.iTLBInter.req.fire, m_tlbResp, m_sendTLB)
699    }
700
701    is(m_tlbResp) {
702      when(io.iTLBInter.resp.fire) {
703        // we are using a blocked tlb, so resp.fire must have !resp.bits.miss
704        assert(!io.iTLBInter.resp.bits.miss, "blocked mode iTLB miss when resp.fire")
705        val tlb_exception = ExceptionType.fromTlbResp(io.iTLBInter.resp.bits)
706        // if tlb has exception, abort checking pmp, just send instr & exception to ibuffer and wait for commit
707        mmio_state := Mux(tlb_exception === ExceptionType.none, m_sendPMP, m_waitCommit)
708        // also save itlb response
709        mmio_resend_addr              := io.iTLBInter.resp.bits.paddr(0)
710        mmio_resend_exception         := tlb_exception
711        mmio_resend_gpaddr            := io.iTLBInter.resp.bits.gpaddr(0)
712        mmio_resend_isForVSnonLeafPTE := io.iTLBInter.resp.bits.isForVSnonLeafPTE(0)
713      }
714    }
715
716    is(m_sendPMP) {
717      // if pmp re-check does not respond mmio, must be access fault
718      val pmp_exception = Mux(io.pmp.resp.mmio, ExceptionType.fromPMPResp(io.pmp.resp), ExceptionType.af)
719      // if pmp has exception, abort sending request, just send instr & exception to ibuffer and wait for commit
720      mmio_state := Mux(pmp_exception === ExceptionType.none, m_resendReq, m_waitCommit)
721      // also save pmp response
722      mmio_resend_exception := pmp_exception
723    }
724
725    is(m_resendReq) {
726      mmio_state := Mux(toUncache.fire, m_waitResendResp, m_resendReq)
727    }
728
729    is(m_waitResendResp) {
730      when(fromUncache.fire) {
731        mmio_state      := m_waitCommit
732        f3_mmio_data(1) := fromUncache.bits.data(15, 0)
733      }
734    }
735
736    is(m_waitCommit) {
737      mmio_state := Mux(mmio_commit, m_commited, m_waitCommit)
738    }
739
740    // normal mmio instruction
741    is(m_commited) {
742      mmio_state                    := m_idle
743      mmio_is_RVC                   := false.B
744      mmio_resend_addr              := 0.U
745      mmio_resend_exception         := ExceptionType.none
746      mmio_resend_gpaddr            := 0.U
747      mmio_resend_isForVSnonLeafPTE := false.B
748    }
749  }
750
751  // Exception or flush by older branch prediction
752  // Condition is from RegNext(fromFtq.redirect), 1 cycle after backend rediect
753  when(f3_ftq_flush_self || f3_ftq_flush_by_older) {
754    mmio_state                    := m_idle
755    mmio_is_RVC                   := false.B
756    mmio_resend_addr              := 0.U
757    mmio_resend_exception         := ExceptionType.none
758    mmio_resend_gpaddr            := 0.U
759    mmio_resend_isForVSnonLeafPTE := false.B
760    f3_mmio_data.map(_ := 0.U)
761  }
762
763  toUncache.valid     := ((mmio_state === m_sendReq) || (mmio_state === m_resendReq)) && f3_req_is_mmio
764  toUncache.bits.addr := Mux(mmio_state === m_resendReq, mmio_resend_addr, f3_paddrs(0))
765  fromUncache.ready   := true.B
766
767  // send itlb request in m_sendTLB state
768  io.iTLBInter.req.valid                   := (mmio_state === m_sendTLB) && f3_req_is_mmio
769  io.iTLBInter.req.bits.size               := 3.U
770  io.iTLBInter.req.bits.vaddr              := f3_resend_vaddr
771  io.iTLBInter.req.bits.debug.pc           := f3_resend_vaddr
772  io.iTLBInter.req.bits.cmd                := TlbCmd.exec
773  io.iTLBInter.req.bits.isPrefetch         := false.B
774  io.iTLBInter.req.bits.kill               := false.B // IFU use itlb for mmio, doesn't need sync, set it to false
775  io.iTLBInter.req.bits.no_translate       := false.B
776  io.iTLBInter.req.bits.fullva             := 0.U
777  io.iTLBInter.req.bits.checkfullva        := false.B
778  io.iTLBInter.req.bits.hyperinst          := DontCare
779  io.iTLBInter.req.bits.hlvx               := DontCare
780  io.iTLBInter.req.bits.memidx             := DontCare
781  io.iTLBInter.req.bits.debug.robIdx       := DontCare
782  io.iTLBInter.req.bits.debug.isFirstIssue := DontCare
783  io.iTLBInter.req.bits.pmp_addr           := DontCare
784  // whats the difference between req_kill and req.bits.kill?
785  io.iTLBInter.req_kill := false.B
786  // wait for itlb response in m_tlbResp state
787  io.iTLBInter.resp.ready := (mmio_state === m_tlbResp) && f3_req_is_mmio
788
789  io.pmp.req.valid     := (mmio_state === m_sendPMP) && f3_req_is_mmio
790  io.pmp.req.bits.addr := mmio_resend_addr
791  io.pmp.req.bits.size := 3.U
792  io.pmp.req.bits.cmd  := TlbCmd.exec
793
794  val f3_lastHalf = RegInit(0.U.asTypeOf(new LastHalfInfo))
795
796  val f3_predecode_range = VecInit(preDecoderOut.pd.map(inst => inst.valid)).asUInt
797  val f3_mmio_range      = VecInit((0 until PredictWidth).map(i => if (i == 0) true.B else false.B))
798  val f3_instr_valid     = Wire(Vec(PredictWidth, Bool()))
799
800  /*** prediction result check   ***/
801  checkerIn.ftqOffset  := f3_ftq_req.ftqOffset
802  checkerIn.jumpOffset := f3_jump_offset
803  checkerIn.target     := f3_ftq_req.nextStartAddr
804  checkerIn.instrRange := f3_instr_range.asTypeOf(Vec(PredictWidth, Bool()))
805  checkerIn.instrValid := f3_instr_valid.asTypeOf(Vec(PredictWidth, Bool()))
806  checkerIn.pds        := f3_pd
807  checkerIn.pc         := f3_pc
808  checkerIn.fire_in    := RegNext(f2_fire, init = false.B)
809
810  /*** handle half RVI in the last 2 Bytes  ***/
811
812  def hasLastHalf(idx: UInt) =
813    // !f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(idx) && !checkerOutStage2.fixedMissPred(idx) && ! f3_req_is_mmio
814    !f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(
815      idx
816    ) && !f3_req_is_mmio
817
818  val f3_last_validIdx = ParallelPosteriorityEncoder(checkerOutStage1.fixedRange)
819
820  val f3_hasLastHalf    = hasLastHalf((PredictWidth - 1).U)
821  val f3_false_lastHalf = hasLastHalf(f3_last_validIdx)
822  val f3_false_snpc     = f3_half_snpc(f3_last_validIdx)
823
824  val f3_lastHalf_mask    = VecInit((0 until PredictWidth).map(i => if (i == 0) false.B else true.B)).asUInt
825  val f3_lastHalf_disable = RegInit(false.B)
826
827  when(f3_flush || (f3_fire && f3_lastHalf_disable)) {
828    f3_lastHalf_disable := false.B
829  }
830
831  when(f3_flush) {
832    f3_lastHalf.valid := false.B
833  }.elsewhen(f3_fire) {
834    f3_lastHalf.valid    := f3_hasLastHalf && !f3_lastHalf_disable
835    f3_lastHalf.middlePC := f3_ftq_req.nextStartAddr
836  }
837
838  f3_instr_valid := Mux(f3_lastHalf.valid, f3_hasHalfValid, VecInit(f3_pd.map(inst => inst.valid)))
839
840  /*** frontend Trigger  ***/
841  frontendTrigger.io.pds  := f3_pd
842  frontendTrigger.io.pc   := f3_pc
843  frontendTrigger.io.data := f3_cut_data
844
845  frontendTrigger.io.frontendTrigger := io.frontendTrigger
846
847  val f3_triggered       = frontendTrigger.io.triggered
848  val f3_toIbuffer_valid = f3_valid && (!f3_req_is_mmio || f3_mmio_can_go) && !f3_flush
849
850  /*** send to Ibuffer  ***/
851  io.toIbuffer.valid          := f3_toIbuffer_valid
852  io.toIbuffer.bits.instrs    := f3_expd_instr
853  io.toIbuffer.bits.valid     := f3_instr_valid.asUInt
854  io.toIbuffer.bits.enqEnable := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt
855  io.toIbuffer.bits.pd        := f3_pd
856  io.toIbuffer.bits.ftqPtr    := f3_ftq_req.ftqIdx
857  io.toIbuffer.bits.pc        := f3_pc
858  // Find last using PriorityMux
859  io.toIbuffer.bits.isLastInFtqEntry := Reverse(PriorityEncoderOH(Reverse(io.toIbuffer.bits.enqEnable))).asBools
860  io.toIbuffer.bits.ftqOffset.zipWithIndex.map { case (a, i) =>
861    a.bits := i.U; a.valid := checkerOutStage1.fixedTaken(i) && !f3_req_is_mmio
862  }
863  io.toIbuffer.bits.foldpc        := f3_foldpc
864  io.toIbuffer.bits.exceptionType := ExceptionType.merge(f3_exception_vec, f3_crossPage_exception_vec)
865  // exceptionFromBackend only needs to be set for the first instruction.
866  // Other instructions in the same block may have pf or af set,
867  // which is a side effect of the first instruction and actually not necessary.
868  io.toIbuffer.bits.exceptionFromBackend := (0 until PredictWidth).map {
869    case 0 => f3_except_fromBackend
870    case _ => false.B
871  }
872  io.toIbuffer.bits.crossPageIPFFix := f3_crossPage_exception_vec.map(_ =/= ExceptionType.none)
873  io.toIbuffer.bits.illegalInstr    := f3_ill
874  io.toIbuffer.bits.triggered       := f3_triggered
875
876  when(f3_lastHalf.valid) {
877    io.toIbuffer.bits.enqEnable := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt & f3_lastHalf_mask
878    io.toIbuffer.bits.valid     := f3_lastHalf_mask & f3_instr_valid.asUInt
879  }
880
881  /** to backend */
882  // f3_gpaddr is valid iff gpf is detected
883  io.toBackend.gpaddrMem_wen := f3_toIbuffer_valid && Mux(
884    f3_req_is_mmio,
885    mmio_resend_exception === ExceptionType.gpf,
886    f3_exception.map(_ === ExceptionType.gpf).reduce(_ || _)
887  )
888  io.toBackend.gpaddrMem_waddr        := f3_ftq_req.ftqIdx.value
889  io.toBackend.gpaddrMem_wdata.gpaddr := Mux(f3_req_is_mmio, mmio_resend_gpaddr, f3_gpaddr)
890  io.toBackend.gpaddrMem_wdata.isForVSnonLeafPTE := Mux(
891    f3_req_is_mmio,
892    mmio_resend_isForVSnonLeafPTE,
893    f3_isForVSnonLeafPTE
894  )
895
896  // Write back to Ftq
897  val f3_cache_fetch     = f3_valid && !(f2_fire && !f2_flush)
898  val finishFetchMaskReg = RegNext(f3_cache_fetch)
899
900  val mmioFlushWb        = Wire(Valid(new PredecodeWritebackBundle))
901  val f3_mmio_missOffset = Wire(ValidUndirectioned(UInt(log2Ceil(PredictWidth).W)))
902  f3_mmio_missOffset.valid := f3_req_is_mmio
903  f3_mmio_missOffset.bits  := 0.U
904
905  // Send mmioFlushWb back to FTQ 1 cycle after uncache fetch return
906  // When backend redirect, mmio_state reset after 1 cycle.
907  // In this case, mask .valid to avoid overriding backend redirect
908  mmioFlushWb.valid := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire) &&
909    f3_mmio_use_seq_pc && !f3_ftq_flush_self && !f3_ftq_flush_by_older)
910  mmioFlushWb.bits.pc := f3_pc
911  mmioFlushWb.bits.pd := f3_pd
912  mmioFlushWb.bits.pd.zipWithIndex.map { case (instr, i) => instr.valid := f3_mmio_range(i) }
913  mmioFlushWb.bits.ftqIdx     := f3_ftq_req.ftqIdx
914  mmioFlushWb.bits.ftqOffset  := f3_ftq_req.ftqOffset.bits
915  mmioFlushWb.bits.misOffset  := f3_mmio_missOffset
916  mmioFlushWb.bits.cfiOffset  := DontCare
917  mmioFlushWb.bits.target     := Mux(mmio_is_RVC, f3_ftq_req.startAddr + 2.U, f3_ftq_req.startAddr + 4.U)
918  mmioFlushWb.bits.jalTarget  := DontCare
919  mmioFlushWb.bits.instrRange := f3_mmio_range
920
921  val mmioRVCExpander = Module(new RVCExpander)
922  mmioRVCExpander.io.in := Mux(f3_req_is_mmio, Cat(f3_mmio_data(1), f3_mmio_data(0)), 0.U)
923
924  /** external predecode for MMIO instruction */
925  when(f3_req_is_mmio) {
926    val inst         = Cat(f3_mmio_data(1), f3_mmio_data(0))
927    val currentIsRVC = isRVC(inst)
928
929    val brType :: isCall :: isRet :: Nil = brInfo(inst)
930    val jalOffset                        = jal_offset(inst, currentIsRVC)
931    val brOffset                         = br_offset(inst, currentIsRVC)
932
933    io.toIbuffer.bits.instrs(0) := Mux(mmioRVCExpander.io.ill, mmioRVCExpander.io.in, mmioRVCExpander.io.out.bits)
934
935    io.toIbuffer.bits.pd(0).valid  := true.B
936    io.toIbuffer.bits.pd(0).isRVC  := currentIsRVC
937    io.toIbuffer.bits.pd(0).brType := brType
938    io.toIbuffer.bits.pd(0).isCall := isCall
939    io.toIbuffer.bits.pd(0).isRet  := isRet
940
941    io.toIbuffer.bits.exceptionType(0)   := mmio_resend_exception
942    io.toIbuffer.bits.crossPageIPFFix(0) := mmio_resend_exception =/= ExceptionType.none
943    io.toIbuffer.bits.illegalInstr(0)    := mmioRVCExpander.io.ill
944
945    io.toIbuffer.bits.enqEnable := f3_mmio_range.asUInt
946
947    mmioFlushWb.bits.pd(0).valid  := true.B
948    mmioFlushWb.bits.pd(0).isRVC  := currentIsRVC
949    mmioFlushWb.bits.pd(0).brType := brType
950    mmioFlushWb.bits.pd(0).isCall := isCall
951    mmioFlushWb.bits.pd(0).isRet  := isRet
952  }
953
954  mmio_redirect := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire) && f3_mmio_use_seq_pc)
955
956  XSPerfAccumulate("fetch_bubble_ibuffer_not_ready", io.toIbuffer.valid && !io.toIbuffer.ready)
957
958  /**
959    ******************************************************************************
960    * IFU Write Back Stage
961    * - write back predecode information to Ftq to update
962    * - redirect if found fault prediction
963    * - redirect if has false hit last half (last PC is not start + 32 Bytes, but in the midle of an notCFI RVI instruction)
964    ******************************************************************************
965    */
966  val wb_enable  = RegNext(f2_fire && !f2_flush) && !f3_req_is_mmio && !f3_flush
967  val wb_valid   = RegNext(wb_enable, init = false.B)
968  val wb_ftq_req = RegEnable(f3_ftq_req, wb_enable)
969
970  val wb_check_result_stage1 = RegEnable(checkerOutStage1, wb_enable)
971  val wb_check_result_stage2 = checkerOutStage2
972  val wb_instr_range         = RegEnable(io.toIbuffer.bits.enqEnable, wb_enable)
973
974  val wb_pc_lower_result = RegEnable(f3_pc_lower_result, wb_enable)
975  val wb_pc_high         = RegEnable(f3_pc_high, wb_enable)
976  val wb_pc_high_plus1   = RegEnable(f3_pc_high_plus1, wb_enable)
977  val wb_pc              = CatPC(wb_pc_lower_result, wb_pc_high, wb_pc_high_plus1)
978
979  // val wb_pc             = RegEnable(f3_pc, wb_enable)
980  val wb_pd          = RegEnable(f3_pd, wb_enable)
981  val wb_instr_valid = RegEnable(f3_instr_valid, wb_enable)
982
983  /* false hit lastHalf */
984  val wb_lastIdx        = RegEnable(f3_last_validIdx, wb_enable)
985  val wb_false_lastHalf = RegEnable(f3_false_lastHalf, wb_enable) && wb_lastIdx =/= (PredictWidth - 1).U
986  val wb_false_target   = RegEnable(f3_false_snpc, wb_enable)
987
988  val wb_half_flush  = wb_false_lastHalf
989  val wb_half_target = wb_false_target
990
991  /* false oversize */
992  val lastIsRVC = wb_instr_range.asTypeOf(Vec(PredictWidth, Bool())).last && wb_pd.last.isRVC
993  val lastIsRVI = wb_instr_range.asTypeOf(Vec(PredictWidth, Bool()))(PredictWidth - 2) && !wb_pd(PredictWidth - 2).isRVC
994  val lastTaken = wb_check_result_stage1.fixedTaken.last
995
996  f3_wb_not_flush := wb_ftq_req.ftqIdx === f3_ftq_req.ftqIdx && f3_valid && wb_valid
997
998  /** if a req with a last half but miss predicted enters in wb stage, and this cycle f3 stalls,
999    * we set a flag to notify f3 that the last half flag need not to be set.
1000    */
1001  // f3_fire is after wb_valid
1002  when(wb_valid && RegNext(f3_hasLastHalf, init = false.B)
1003    && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && !f3_fire && !RegNext(
1004      f3_fire,
1005      init = false.B
1006    ) && !f3_flush) {
1007    f3_lastHalf_disable := true.B
1008  }
1009
1010  // wb_valid and f3_fire are in same cycle
1011  when(wb_valid && RegNext(f3_hasLastHalf, init = false.B)
1012    && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && f3_fire) {
1013    f3_lastHalf.valid := false.B
1014  }
1015
1016  val checkFlushWb = Wire(Valid(new PredecodeWritebackBundle))
1017  val checkFlushWbjalTargetIdx = ParallelPriorityEncoder(VecInit(wb_pd.zip(wb_instr_valid).map { case (pd, v) =>
1018    v && pd.isJal
1019  }))
1020  val checkFlushWbTargetIdx = ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred)
1021  checkFlushWb.valid   := wb_valid
1022  checkFlushWb.bits.pc := wb_pc
1023  checkFlushWb.bits.pd := wb_pd
1024  checkFlushWb.bits.pd.zipWithIndex.map { case (instr, i) => instr.valid := wb_instr_valid(i) }
1025  checkFlushWb.bits.ftqIdx          := wb_ftq_req.ftqIdx
1026  checkFlushWb.bits.ftqOffset       := wb_ftq_req.ftqOffset.bits
1027  checkFlushWb.bits.misOffset.valid := ParallelOR(wb_check_result_stage2.fixedMissPred) || wb_half_flush
1028  checkFlushWb.bits.misOffset.bits := Mux(
1029    wb_half_flush,
1030    wb_lastIdx,
1031    ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred)
1032  )
1033  checkFlushWb.bits.cfiOffset.valid := ParallelOR(wb_check_result_stage1.fixedTaken)
1034  checkFlushWb.bits.cfiOffset.bits  := ParallelPriorityEncoder(wb_check_result_stage1.fixedTaken)
1035  checkFlushWb.bits.target := Mux(
1036    wb_half_flush,
1037    wb_half_target,
1038    wb_check_result_stage2.fixedTarget(checkFlushWbTargetIdx)
1039  )
1040  checkFlushWb.bits.jalTarget  := wb_check_result_stage2.jalTarget(checkFlushWbjalTargetIdx)
1041  checkFlushWb.bits.instrRange := wb_instr_range.asTypeOf(Vec(PredictWidth, Bool()))
1042
1043  toFtq.pdWb := Mux(wb_valid, checkFlushWb, mmioFlushWb)
1044
1045  wb_redirect := checkFlushWb.bits.misOffset.valid && wb_valid
1046
1047  /*write back flush type*/
1048  val checkFaultType    = wb_check_result_stage2.faultType
1049  val checkJalFault     = wb_valid && checkFaultType.map(_.isjalFault).reduce(_ || _)
1050  val checkRetFault     = wb_valid && checkFaultType.map(_.isRetFault).reduce(_ || _)
1051  val checkTargetFault  = wb_valid && checkFaultType.map(_.istargetFault).reduce(_ || _)
1052  val checkNotCFIFault  = wb_valid && checkFaultType.map(_.notCFIFault).reduce(_ || _)
1053  val checkInvalidTaken = wb_valid && checkFaultType.map(_.invalidTakenFault).reduce(_ || _)
1054
1055  XSPerfAccumulate("predecode_flush_jalFault", checkJalFault)
1056  XSPerfAccumulate("predecode_flush_retFault", checkRetFault)
1057  XSPerfAccumulate("predecode_flush_targetFault", checkTargetFault)
1058  XSPerfAccumulate("predecode_flush_notCFIFault", checkNotCFIFault)
1059  XSPerfAccumulate("predecode_flush_incalidTakenFault", checkInvalidTaken)
1060
1061  when(checkRetFault) {
1062    XSDebug(
1063      "startAddr:%x  nextstartAddr:%x  taken:%d    takenIdx:%d\n",
1064      wb_ftq_req.startAddr,
1065      wb_ftq_req.nextStartAddr,
1066      wb_ftq_req.ftqOffset.valid,
1067      wb_ftq_req.ftqOffset.bits
1068    )
1069  }
1070
1071  /** performance counter */
1072  val f3_perf_info = RegEnable(f2_perf_info, f2_fire)
1073  val f3_req_0     = io.toIbuffer.fire
1074  val f3_req_1     = io.toIbuffer.fire && f3_doubleLine
1075  val f3_hit_0     = io.toIbuffer.fire && f3_perf_info.bank_hit(0)
1076  val f3_hit_1     = io.toIbuffer.fire && f3_doubleLine & f3_perf_info.bank_hit(1)
1077  val f3_hit       = f3_perf_info.hit
1078  val perfEvents = Seq(
1079    ("frontendFlush                ", wb_redirect),
1080    ("ifu_req                      ", io.toIbuffer.fire),
1081    ("ifu_miss                     ", io.toIbuffer.fire && !f3_perf_info.hit),
1082    ("ifu_req_cacheline_0          ", f3_req_0),
1083    ("ifu_req_cacheline_1          ", f3_req_1),
1084    ("ifu_req_cacheline_0_hit      ", f3_hit_1),
1085    ("ifu_req_cacheline_1_hit      ", f3_hit_1),
1086    ("only_0_hit                   ", f3_perf_info.only_0_hit && io.toIbuffer.fire),
1087    ("only_0_miss                  ", f3_perf_info.only_0_miss && io.toIbuffer.fire),
1088    ("hit_0_hit_1                  ", f3_perf_info.hit_0_hit_1 && io.toIbuffer.fire),
1089    ("hit_0_miss_1                 ", f3_perf_info.hit_0_miss_1 && io.toIbuffer.fire),
1090    ("miss_0_hit_1                 ", f3_perf_info.miss_0_hit_1 && io.toIbuffer.fire),
1091    ("miss_0_miss_1                ", f3_perf_info.miss_0_miss_1 && io.toIbuffer.fire)
1092  )
1093  generatePerfEvent()
1094
1095  XSPerfAccumulate("ifu_req", io.toIbuffer.fire)
1096  XSPerfAccumulate("ifu_miss", io.toIbuffer.fire && !f3_hit)
1097  XSPerfAccumulate("ifu_req_cacheline_0", f3_req_0)
1098  XSPerfAccumulate("ifu_req_cacheline_1", f3_req_1)
1099  XSPerfAccumulate("ifu_req_cacheline_0_hit", f3_hit_0)
1100  XSPerfAccumulate("ifu_req_cacheline_1_hit", f3_hit_1)
1101  XSPerfAccumulate("frontendFlush", wb_redirect)
1102  XSPerfAccumulate("only_0_hit", f3_perf_info.only_0_hit && io.toIbuffer.fire)
1103  XSPerfAccumulate("only_0_miss", f3_perf_info.only_0_miss && io.toIbuffer.fire)
1104  XSPerfAccumulate("hit_0_hit_1", f3_perf_info.hit_0_hit_1 && io.toIbuffer.fire)
1105  XSPerfAccumulate("hit_0_miss_1", f3_perf_info.hit_0_miss_1 && io.toIbuffer.fire)
1106  XSPerfAccumulate("miss_0_hit_1", f3_perf_info.miss_0_hit_1 && io.toIbuffer.fire)
1107  XSPerfAccumulate("miss_0_miss_1", f3_perf_info.miss_0_miss_1 && io.toIbuffer.fire)
1108  XSPerfAccumulate("hit_0_except_1", f3_perf_info.hit_0_except_1 && io.toIbuffer.fire)
1109  XSPerfAccumulate("miss_0_except_1", f3_perf_info.miss_0_except_1 && io.toIbuffer.fire)
1110  XSPerfAccumulate("except_0", f3_perf_info.except_0 && io.toIbuffer.fire)
1111  XSPerfHistogram(
1112    "ifu2ibuffer_validCnt",
1113    PopCount(io.toIbuffer.bits.valid & io.toIbuffer.bits.enqEnable),
1114    io.toIbuffer.fire,
1115    0,
1116    PredictWidth + 1,
1117    1
1118  )
1119
1120  val hartId                     = p(XSCoreParamsKey).HartId
1121  val isWriteFetchToIBufferTable = Constantin.createRecord(s"isWriteFetchToIBufferTable$hartId")
1122  val isWriteIfuWbToFtqTable     = Constantin.createRecord(s"isWriteIfuWbToFtqTable$hartId")
1123  val fetchToIBufferTable        = ChiselDB.createTable(s"FetchToIBuffer$hartId", new FetchToIBufferDB)
1124  val ifuWbToFtqTable            = ChiselDB.createTable(s"IfuWbToFtq$hartId", new IfuWbToFtqDB)
1125
1126  val fetchIBufferDumpData = Wire(new FetchToIBufferDB)
1127  fetchIBufferDumpData.start_addr  := f3_ftq_req.startAddr
1128  fetchIBufferDumpData.instr_count := PopCount(io.toIbuffer.bits.enqEnable)
1129  fetchIBufferDumpData.exception := (f3_perf_info.except_0 && io.toIbuffer.fire) || (f3_perf_info.hit_0_except_1 && io.toIbuffer.fire) || (f3_perf_info.miss_0_except_1 && io.toIbuffer.fire)
1130  fetchIBufferDumpData.is_cache_hit := f3_hit
1131
1132  val ifuWbToFtqDumpData = Wire(new IfuWbToFtqDB)
1133  ifuWbToFtqDumpData.start_addr        := wb_ftq_req.startAddr
1134  ifuWbToFtqDumpData.is_miss_pred      := checkFlushWb.bits.misOffset.valid
1135  ifuWbToFtqDumpData.miss_pred_offset  := checkFlushWb.bits.misOffset.bits
1136  ifuWbToFtqDumpData.checkJalFault     := checkJalFault
1137  ifuWbToFtqDumpData.checkRetFault     := checkRetFault
1138  ifuWbToFtqDumpData.checkTargetFault  := checkTargetFault
1139  ifuWbToFtqDumpData.checkNotCFIFault  := checkNotCFIFault
1140  ifuWbToFtqDumpData.checkInvalidTaken := checkInvalidTaken
1141
1142  fetchToIBufferTable.log(
1143    data = fetchIBufferDumpData,
1144    en = isWriteFetchToIBufferTable.orR && io.toIbuffer.fire,
1145    site = "IFU" + p(XSCoreParamsKey).HartId.toString,
1146    clock = clock,
1147    reset = reset
1148  )
1149  ifuWbToFtqTable.log(
1150    data = ifuWbToFtqDumpData,
1151    en = isWriteIfuWbToFtqTable.orR && checkFlushWb.valid,
1152    site = "IFU" + p(XSCoreParamsKey).HartId.toString,
1153    clock = clock,
1154    reset = reset
1155  )
1156
1157}
1158