xref: /XiangShan/src/main/scala/xiangshan/frontend/IFU.scala (revision d0de7e4a4bcd4633260dda99dfedc2a5e543b8b4)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
1609c6f1ddSLingrui98
1709c6f1ddSLingrui98package xiangshan.frontend
1809c6f1ddSLingrui98
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
2009c6f1ddSLingrui98import chisel3._
2109c6f1ddSLingrui98import chisel3.util._
222a3050c2SJayimport freechips.rocketchip.rocket.RVCDecoder
2309c6f1ddSLingrui98import xiangshan._
2409c6f1ddSLingrui98import xiangshan.cache.mmu._
251d8f4dcbSJayimport xiangshan.frontend.icache._
2609c6f1ddSLingrui98import utils._
273c02ee8fSwakafaimport utility._
28b6982e83SLemoverimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
293c02ee8fSwakafaimport utility.ChiselDB
3009c6f1ddSLingrui98
3109c6f1ddSLingrui98trait HasInstrMMIOConst extends HasXSParameter with HasIFUConst{
3209c6f1ddSLingrui98  def mmioBusWidth = 64
3309c6f1ddSLingrui98  def mmioBusBytes = mmioBusWidth / 8
340be662e4SJay  def maxInstrLen = 32
3509c6f1ddSLingrui98}
3609c6f1ddSLingrui98
3709c6f1ddSLingrui98trait HasIFUConst extends HasXSParameter{
381d8f4dcbSJay  def addrAlign(addr: UInt, bytes: Int, highest: Int): UInt = Cat(addr(highest-1, log2Ceil(bytes)), 0.U(log2Ceil(bytes).W))
391d8f4dcbSJay  def fetchQueueSize = 2
401d8f4dcbSJay
412a3050c2SJay  def getBasicBlockIdx( pc: UInt, start:  UInt ): UInt = {
422a3050c2SJay    val byteOffset = pc - start
432a3050c2SJay    (byteOffset - instBytes.U)(log2Ceil(PredictWidth),instOffsetBits)
441d8f4dcbSJay  }
4509c6f1ddSLingrui98}
4609c6f1ddSLingrui98
4709c6f1ddSLingrui98class IfuToFtqIO(implicit p:Parameters) extends XSBundle {
4809c6f1ddSLingrui98  val pdWb = Valid(new PredecodeWritebackBundle)
4909c6f1ddSLingrui98}
5009c6f1ddSLingrui98
5109c6f1ddSLingrui98class FtqInterface(implicit p: Parameters) extends XSBundle {
5209c6f1ddSLingrui98  val fromFtq = Flipped(new FtqToIfuIO)
5309c6f1ddSLingrui98  val toFtq   = new IfuToFtqIO
5409c6f1ddSLingrui98}
5509c6f1ddSLingrui98
560be662e4SJayclass UncacheInterface(implicit p: Parameters) extends XSBundle {
570be662e4SJay  val fromUncache = Flipped(DecoupledIO(new InsUncacheResp))
580be662e4SJay  val toUncache   = DecoupledIO( new InsUncacheReq )
590be662e4SJay}
601d1e6d4dSJenius
6109c6f1ddSLingrui98class NewIFUIO(implicit p: Parameters) extends XSBundle {
6209c6f1ddSLingrui98  val ftqInter        = new FtqInterface
6350780602SJenius  val icacheInter     = Flipped(new IFUICacheIO)
641d8f4dcbSJay  val icacheStop      = Output(Bool())
651d8f4dcbSJay  val icachePerfInfo  = Input(new ICachePerfInfo)
6609c6f1ddSLingrui98  val toIbuffer       = Decoupled(new FetchToIBuffer)
670be662e4SJay  val uncacheInter   =  new UncacheInterface
6872951335SLi Qianruo  val frontendTrigger = Flipped(new FrontendTdataDistributeIO)
6972951335SLi Qianruo  val csrTriggerEnable = Input(Vec(4, Bool()))
70a37fbf10SJay  val rob_commits = Flipped(Vec(CommitWidth, Valid(new RobCommitInfo)))
71f1fe8698SLemover  val iTLBInter       = new TlbRequestIO
7256788a33SJinYue  val pmp             =   new ICachePMPBundle
731d1e6d4dSJenius  val mmioCommitRead  = new mmioCommitRead
7409c6f1ddSLingrui98}
7509c6f1ddSLingrui98
7609c6f1ddSLingrui98// record the situation in which fallThruAddr falls into
7709c6f1ddSLingrui98// the middle of an RVI inst
7809c6f1ddSLingrui98class LastHalfInfo(implicit p: Parameters) extends XSBundle {
7909c6f1ddSLingrui98  val valid = Bool()
8009c6f1ddSLingrui98  val middlePC = UInt(VAddrBits.W)
8109c6f1ddSLingrui98  def matchThisBlock(startAddr: UInt) = valid && middlePC === startAddr
8209c6f1ddSLingrui98}
8309c6f1ddSLingrui98
8409c6f1ddSLingrui98class IfuToPreDecode(implicit p: Parameters) extends XSBundle {
8509c6f1ddSLingrui98  val data                =  if(HasCExtension) Vec(PredictWidth + 1, UInt(16.W)) else Vec(PredictWidth, UInt(32.W))
8672951335SLi Qianruo  val frontendTrigger     = new FrontendTdataDistributeIO
8772951335SLi Qianruo  val csrTriggerEnable    = Vec(4, Bool())
882a3050c2SJay  val pc                  = Vec(PredictWidth, UInt(VAddrBits.W))
8909c6f1ddSLingrui98}
9009c6f1ddSLingrui98
912a3050c2SJay
922a3050c2SJayclass IfuToPredChecker(implicit p: Parameters) extends XSBundle {
932a3050c2SJay  val ftqOffset     = Valid(UInt(log2Ceil(PredictWidth).W))
942a3050c2SJay  val jumpOffset    = Vec(PredictWidth, UInt(XLEN.W))
952a3050c2SJay  val target        = UInt(VAddrBits.W)
962a3050c2SJay  val instrRange    = Vec(PredictWidth, Bool())
972a3050c2SJay  val instrValid    = Vec(PredictWidth, Bool())
982a3050c2SJay  val pds           = Vec(PredictWidth, new PreDecodeInfo)
992a3050c2SJay  val pc            = Vec(PredictWidth, UInt(VAddrBits.W))
1002a3050c2SJay}
1012a3050c2SJay
10251532d8bSGuokai Chenclass FetchToIBufferDB extends Bundle {
10351532d8bSGuokai Chen  val start_addr = UInt(39.W)
10451532d8bSGuokai Chen  val instr_count = UInt(32.W)
10551532d8bSGuokai Chen  val exception = Bool()
10651532d8bSGuokai Chen  val is_cache_hit = Bool()
10751532d8bSGuokai Chen}
10851532d8bSGuokai Chen
10951532d8bSGuokai Chenclass IfuWbToFtqDB extends Bundle {
11051532d8bSGuokai Chen  val start_addr = UInt(39.W)
11151532d8bSGuokai Chen  val is_miss_pred = Bool()
11251532d8bSGuokai Chen  val miss_pred_offset = UInt(32.W)
11351532d8bSGuokai Chen  val checkJalFault = Bool()
11451532d8bSGuokai Chen  val checkRetFault = Bool()
11551532d8bSGuokai Chen  val checkTargetFault = Bool()
11651532d8bSGuokai Chen  val checkNotCFIFault = Bool()
11751532d8bSGuokai Chen  val checkInvalidTaken = Bool()
11851532d8bSGuokai Chen}
11951532d8bSGuokai Chen
1202a3050c2SJayclass NewIFU(implicit p: Parameters) extends XSModule
1212a3050c2SJay  with HasICacheParameters
1222a3050c2SJay  with HasIFUConst
1232a3050c2SJay  with HasPdConst
124167bcd01SJay  with HasCircularQueuePtrHelper
1252a3050c2SJay  with HasPerfEvents
12609c6f1ddSLingrui98{
12709c6f1ddSLingrui98  val io = IO(new NewIFUIO)
12809c6f1ddSLingrui98  val (toFtq, fromFtq)    = (io.ftqInter.toFtq, io.ftqInter.fromFtq)
129c5c5edaeSJenius  val fromICache = io.icacheInter.resp
1300be662e4SJay  val (toUncache, fromUncache) = (io.uncacheInter.toUncache , io.uncacheInter.fromUncache)
13109c6f1ddSLingrui98
13209c6f1ddSLingrui98  def isCrossLineReq(start: UInt, end: UInt): Bool = start(blockOffBits) ^ end(blockOffBits)
13309c6f1ddSLingrui98
13434a88126SJinYue  def isLastInCacheline(addr: UInt): Bool = addr(blockOffBits - 1, 1) === 0.U
13509c6f1ddSLingrui98
136d2b20d1aSTang Haojin  def numOfStage = 3
137d2b20d1aSTang Haojin  require(numOfStage > 1, "BPU numOfStage must be greater than 1")
138d2b20d1aSTang Haojin  val topdown_stages = RegInit(VecInit(Seq.fill(numOfStage)(0.U.asTypeOf(new FrontendTopDownBundle))))
139d2b20d1aSTang Haojin  // bubble events in IFU, only happen in stage 1
140d2b20d1aSTang Haojin  val icacheMissBubble = Wire(Bool())
141d2b20d1aSTang Haojin  val itlbMissBubble =Wire(Bool())
142d2b20d1aSTang Haojin
143d2b20d1aSTang Haojin  // only driven by clock, not valid-ready
144d2b20d1aSTang Haojin  topdown_stages(0) := fromFtq.req.bits.topdown_info
145d2b20d1aSTang Haojin  for (i <- 1 until numOfStage) {
146d2b20d1aSTang Haojin    topdown_stages(i) := topdown_stages(i - 1)
147d2b20d1aSTang Haojin  }
148d2b20d1aSTang Haojin  when (icacheMissBubble) {
149d2b20d1aSTang Haojin    topdown_stages(1).reasons(TopDownCounters.ICacheMissBubble.id) := true.B
150d2b20d1aSTang Haojin  }
151d2b20d1aSTang Haojin  when (itlbMissBubble) {
152d2b20d1aSTang Haojin    topdown_stages(1).reasons(TopDownCounters.ITLBMissBubble.id) := true.B
153d2b20d1aSTang Haojin  }
154d2b20d1aSTang Haojin  io.toIbuffer.bits.topdown_info := topdown_stages(numOfStage - 1)
155d2b20d1aSTang Haojin  when (fromFtq.topdown_redirect.valid) {
156d2b20d1aSTang Haojin    // only redirect from backend, IFU redirect itself is handled elsewhere
157d2b20d1aSTang Haojin    when (fromFtq.topdown_redirect.bits.debugIsCtrl) {
158d2b20d1aSTang Haojin      /*
159d2b20d1aSTang Haojin      for (i <- 0 until numOfStage) {
160d2b20d1aSTang Haojin        topdown_stages(i).reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
161d2b20d1aSTang Haojin      }
162d2b20d1aSTang Haojin      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
163d2b20d1aSTang Haojin      */
164d2b20d1aSTang Haojin      when (fromFtq.topdown_redirect.bits.ControlBTBMissBubble) {
165d2b20d1aSTang Haojin        for (i <- 0 until numOfStage) {
166d2b20d1aSTang Haojin          topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
167d2b20d1aSTang Haojin        }
168d2b20d1aSTang Haojin        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
169d2b20d1aSTang Haojin      } .elsewhen (fromFtq.topdown_redirect.bits.TAGEMissBubble) {
170d2b20d1aSTang Haojin        for (i <- 0 until numOfStage) {
171d2b20d1aSTang Haojin          topdown_stages(i).reasons(TopDownCounters.TAGEMissBubble.id) := true.B
172d2b20d1aSTang Haojin        }
173d2b20d1aSTang Haojin        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
174d2b20d1aSTang Haojin      } .elsewhen (fromFtq.topdown_redirect.bits.SCMissBubble) {
175d2b20d1aSTang Haojin        for (i <- 0 until numOfStage) {
176d2b20d1aSTang Haojin          topdown_stages(i).reasons(TopDownCounters.SCMissBubble.id) := true.B
177d2b20d1aSTang Haojin        }
178d2b20d1aSTang Haojin        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.SCMissBubble.id) := true.B
179d2b20d1aSTang Haojin      } .elsewhen (fromFtq.topdown_redirect.bits.ITTAGEMissBubble) {
180d2b20d1aSTang Haojin        for (i <- 0 until numOfStage) {
181d2b20d1aSTang Haojin          topdown_stages(i).reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
182d2b20d1aSTang Haojin        }
183d2b20d1aSTang Haojin        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
184d2b20d1aSTang Haojin      } .elsewhen (fromFtq.topdown_redirect.bits.RASMissBubble) {
185d2b20d1aSTang Haojin        for (i <- 0 until numOfStage) {
186d2b20d1aSTang Haojin          topdown_stages(i).reasons(TopDownCounters.RASMissBubble.id) := true.B
187d2b20d1aSTang Haojin        }
188d2b20d1aSTang Haojin        io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.RASMissBubble.id) := true.B
189d2b20d1aSTang Haojin      }
190d2b20d1aSTang Haojin    } .elsewhen (fromFtq.topdown_redirect.bits.debugIsMemVio) {
191d2b20d1aSTang Haojin      for (i <- 0 until numOfStage) {
192d2b20d1aSTang Haojin        topdown_stages(i).reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
193d2b20d1aSTang Haojin      }
194d2b20d1aSTang Haojin      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
195d2b20d1aSTang Haojin    } .otherwise {
196d2b20d1aSTang Haojin      for (i <- 0 until numOfStage) {
197d2b20d1aSTang Haojin        topdown_stages(i).reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
198d2b20d1aSTang Haojin      }
199d2b20d1aSTang Haojin      io.toIbuffer.bits.topdown_info.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
200d2b20d1aSTang Haojin    }
201d2b20d1aSTang Haojin  }
202d2b20d1aSTang Haojin
2031d8f4dcbSJay  class TlbExept(implicit p: Parameters) extends XSBundle{
2041d8f4dcbSJay    val pageFault = Bool()
2051d8f4dcbSJay    val accessFault = Bool()
2061d8f4dcbSJay    val mmio = Bool()
207b005f7c6SJay  }
20809c6f1ddSLingrui98
209a61a35e0Sssszwic  val preDecoder       = Module(new PreDecode)
210dc270d3bSJenius
2112a3050c2SJay  val predChecker     = Module(new PredChecker)
2122a3050c2SJay  val frontendTrigger = Module(new FrontendTrigger)
2135995c9e7SJenius  val (checkerIn, checkerOutStage1, checkerOutStage2)         = (predChecker.io.in, predChecker.io.out.stage1Out,predChecker.io.out.stage2Out)
2141d8f4dcbSJay
215c3b763d0SYinan Xu  io.iTLBInter.req_kill := false.B
216ee175d78SJay  io.iTLBInter.resp.ready := true.B
217ee175d78SJay
21858dbdfc2SJay  /**
21958dbdfc2SJay    ******************************************************************************
22058dbdfc2SJay    * IFU Stage 0
22158dbdfc2SJay    * - send cacheline fetch request to ICacheMainPipe
22258dbdfc2SJay    ******************************************************************************
22358dbdfc2SJay    */
22409c6f1ddSLingrui98
22509c6f1ddSLingrui98  val f0_valid                             = fromFtq.req.valid
22609c6f1ddSLingrui98  val f0_ftq_req                           = fromFtq.req.bits
2276ce52296SJinYue  val f0_doubleLine                        = fromFtq.req.bits.crossCacheline
22834a88126SJinYue  val f0_vSetIdx                           = VecInit(get_idx((f0_ftq_req.startAddr)), get_idx(f0_ftq_req.nextlineStart))
229935edac4STang Haojin  val f0_fire                              = fromFtq.req.fire
23009c6f1ddSLingrui98
23109c6f1ddSLingrui98  val f0_flush, f1_flush, f2_flush, f3_flush = WireInit(false.B)
23209c6f1ddSLingrui98  val from_bpu_f0_flush, from_bpu_f1_flush, from_bpu_f2_flush, from_bpu_f3_flush = WireInit(false.B)
23309c6f1ddSLingrui98
234cb4f77ceSLingrui98  from_bpu_f0_flush := fromFtq.flushFromBpu.shouldFlushByStage2(f0_ftq_req.ftqIdx) ||
235cb4f77ceSLingrui98                       fromFtq.flushFromBpu.shouldFlushByStage3(f0_ftq_req.ftqIdx)
23609c6f1ddSLingrui98
2372a3050c2SJay  val wb_redirect , mmio_redirect,  backend_redirect= WireInit(false.B)
2382a3050c2SJay  val f3_wb_not_flush = WireInit(false.B)
2392a3050c2SJay
2402a3050c2SJay  backend_redirect := fromFtq.redirect.valid
2412a3050c2SJay  f3_flush := backend_redirect || (wb_redirect && !f3_wb_not_flush)
2422a3050c2SJay  f2_flush := backend_redirect || mmio_redirect || wb_redirect
24309c6f1ddSLingrui98  f1_flush := f2_flush || from_bpu_f1_flush
24409c6f1ddSLingrui98  f0_flush := f1_flush || from_bpu_f0_flush
24509c6f1ddSLingrui98
24609c6f1ddSLingrui98  val f1_ready, f2_ready, f3_ready         = WireInit(false.B)
24709c6f1ddSLingrui98
24850780602SJenius  fromFtq.req.ready := f1_ready && io.icacheInter.icacheReady
24909c6f1ddSLingrui98
250d2b20d1aSTang Haojin
251d2b20d1aSTang Haojin  when (wb_redirect) {
252d2b20d1aSTang Haojin    when (f3_wb_not_flush) {
253d2b20d1aSTang Haojin      topdown_stages(2).reasons(TopDownCounters.BTBMissBubble.id) := true.B
254d2b20d1aSTang Haojin    }
255d2b20d1aSTang Haojin    for (i <- 0 until numOfStage - 1) {
256d2b20d1aSTang Haojin      topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
257d2b20d1aSTang Haojin    }
258d2b20d1aSTang Haojin  }
259d2b20d1aSTang Haojin
26058dbdfc2SJay  /** <PERF> f0 fetch bubble */
261f7c29b0aSJinYue
26200240ba6SJay  XSPerfAccumulate("fetch_bubble_ftq_not_valid",   !fromFtq.req.valid && fromFtq.req.ready  )
263c5c5edaeSJenius  // XSPerfAccumulate("fetch_bubble_pipe_stall",    f0_valid && toICache(0).ready && toICache(1).ready && !f1_ready )
264c5c5edaeSJenius  // XSPerfAccumulate("fetch_bubble_icache_0_busy",   f0_valid && !toICache(0).ready  )
265c5c5edaeSJenius  // XSPerfAccumulate("fetch_bubble_icache_1_busy",   f0_valid && !toICache(1).ready  )
26600240ba6SJay  XSPerfAccumulate("fetch_flush_backend_redirect",   backend_redirect  )
26700240ba6SJay  XSPerfAccumulate("fetch_flush_wb_redirect",    wb_redirect  )
26800240ba6SJay  XSPerfAccumulate("fetch_flush_bpu_f1_flush",   from_bpu_f1_flush  )
26900240ba6SJay  XSPerfAccumulate("fetch_flush_bpu_f0_flush",   from_bpu_f0_flush  )
27058dbdfc2SJay
27158dbdfc2SJay
27258dbdfc2SJay  /**
27358dbdfc2SJay    ******************************************************************************
27458dbdfc2SJay    * IFU Stage 1
27558dbdfc2SJay    * - calculate pc/half_pc/cut_ptr for every instruction
27658dbdfc2SJay    ******************************************************************************
27758dbdfc2SJay    */
27809c6f1ddSLingrui98
27909c6f1ddSLingrui98  val f1_valid      = RegInit(false.B)
280005e809bSJiuyang Liu  val f1_ftq_req    = RegEnable(f0_ftq_req,    f0_fire)
281005e809bSJiuyang Liu  // val f1_situation  = RegEnable(f0_situation,  f0_fire)
282005e809bSJiuyang Liu  val f1_doubleLine = RegEnable(f0_doubleLine, f0_fire)
283005e809bSJiuyang Liu  val f1_vSetIdx    = RegEnable(f0_vSetIdx,    f0_fire)
284625ecd17SJenius  val f1_fire       = f1_valid && f2_ready
28509c6f1ddSLingrui98
286625ecd17SJenius  f1_ready := f1_fire || !f1_valid
28709c6f1ddSLingrui98
2880d756c48SJinYue  from_bpu_f1_flush := fromFtq.flushFromBpu.shouldFlushByStage3(f1_ftq_req.ftqIdx) && f1_valid
289cb4f77ceSLingrui98  // from_bpu_f1_flush := false.B
29009c6f1ddSLingrui98
29109c6f1ddSLingrui98  when(f1_flush)                  {f1_valid  := false.B}
29209c6f1ddSLingrui98  .elsewhen(f0_fire && !f0_flush) {f1_valid  := true.B}
29309c6f1ddSLingrui98  .elsewhen(f1_fire)              {f1_valid  := false.B}
29409c6f1ddSLingrui98
295f2f493deSstride  val f1_pc_adder_cut_point = (VAddrBits/2) - 1 // equal lower_result overflow bit
296f2f493deSstride  val f1_pc_high            = f1_ftq_req.startAddr(VAddrBits-1,f1_pc_adder_cut_point)
297f2f493deSstride  val f1_pc_high_plus1      = f1_pc_high + 1.U
298f2f493deSstride
299f2f493deSstride  val f1_pc_lower_result    = VecInit((0 until PredictWidth).map(i => Cat(0.U(1.W), f1_ftq_req.startAddr(f1_pc_adder_cut_point-1, 0)) + (i * 2).U)) // cat with overflow bit
300f2f493deSstride  val f1_pc                 = VecInit(f1_pc_lower_result.map{ i =>
301f2f493deSstride    Mux(i(f1_pc_adder_cut_point), Cat(f1_pc_high_plus1,i(f1_pc_adder_cut_point-1,0)), Cat(f1_pc_high,i(f1_pc_adder_cut_point-1,0)))})
302f2f493deSstride
303f2f493deSstride  val f1_half_snpc_lower_result = VecInit((0 until PredictWidth).map(i => Cat(0.U(1.W), f1_ftq_req.startAddr(f1_pc_adder_cut_point-1, 0)) + ((i+2) * 2).U)) // cat with overflow bit
304f2f493deSstride  val f1_half_snpc          = VecInit(f1_half_snpc_lower_result.map{i =>
305f2f493deSstride    Mux(i(f1_pc_adder_cut_point), Cat(f1_pc_high_plus1,i(f1_pc_adder_cut_point-1,0)), Cat(f1_pc_high,i(f1_pc_adder_cut_point-1,0)))})
306f2f493deSstride
307f2f493deSstride  if (env.FPGAPlatform){
308f2f493deSstride    val f1_pc_diff          = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + (i * 2).U))
309f2f493deSstride    val f1_half_snpc_diff   = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + ((i+2) * 2).U))
310f2f493deSstride
311f2f493deSstride    XSError(f1_pc.zip(f1_pc_diff).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_), "f1_half_snpc adder cut fail")
312f2f493deSstride    XSError(f1_half_snpc.zip(f1_half_snpc_diff).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_),  "f1_half_snpc adder cut fail")
313f2f493deSstride  }
314f2f493deSstride
315a61a35e0Sssszwic  val f1_cut_ptr            = if(HasCExtension)  VecInit((0 until PredictWidth + 1).map(i =>  Cat(0.U(2.W), f1_ftq_req.startAddr(blockOffBits-2, 1)) + i.U ))
316a61a35e0Sssszwic                                  else           VecInit((0 until PredictWidth).map(i =>     Cat(0.U(2.W), f1_ftq_req.startAddr(blockOffBits-2, 2)) + i.U ))
31709c6f1ddSLingrui98
31858dbdfc2SJay  /**
31958dbdfc2SJay    ******************************************************************************
32058dbdfc2SJay    * IFU Stage 2
32158dbdfc2SJay    * - icache response data (latched for pipeline stop)
32258dbdfc2SJay    * - generate exceprion bits for every instruciton (page fault/access fault/mmio)
32358dbdfc2SJay    * - generate predicted instruction range (1 means this instruciton is in this fetch packet)
32458dbdfc2SJay    * - cut data from cachlines to packet instruction code
32558dbdfc2SJay    * - instruction predecode and RVC expand
32658dbdfc2SJay    ******************************************************************************
32758dbdfc2SJay    */
32858dbdfc2SJay
3291d8f4dcbSJay  val icacheRespAllValid = WireInit(false.B)
33009c6f1ddSLingrui98
33109c6f1ddSLingrui98  val f2_valid      = RegInit(false.B)
332005e809bSJiuyang Liu  val f2_ftq_req    = RegEnable(f1_ftq_req,    f1_fire)
333005e809bSJiuyang Liu  // val f2_situation  = RegEnable(f1_situation,  f1_fire)
334005e809bSJiuyang Liu  val f2_doubleLine = RegEnable(f1_doubleLine, f1_fire)
335005e809bSJiuyang Liu  val f2_vSetIdx    = RegEnable(f1_vSetIdx,    f1_fire)
336625ecd17SJenius  val f2_fire       = f2_valid && f3_ready && icacheRespAllValid
3371d8f4dcbSJay
338625ecd17SJenius  f2_ready := f2_fire || !f2_valid
3391d8f4dcbSJay  //TODO: addr compare may be timing critical
34034a88126SJinYue  val f2_icache_all_resp_wire       =  fromICache(0).valid && (fromICache(0).bits.vaddr ===  f2_ftq_req.startAddr) && ((fromICache(1).valid && (fromICache(1).bits.vaddr ===  f2_ftq_req.nextlineStart)) || !f2_doubleLine)
3411d8f4dcbSJay  val f2_icache_all_resp_reg        = RegInit(false.B)
3421d8f4dcbSJay
3431d8f4dcbSJay  icacheRespAllValid := f2_icache_all_resp_reg || f2_icache_all_resp_wire
3441d8f4dcbSJay
345d2b20d1aSTang Haojin  icacheMissBubble := io.icacheInter.topdownIcacheMiss
346d2b20d1aSTang Haojin  itlbMissBubble   := io.icacheInter.topdownItlbMiss
347d2b20d1aSTang Haojin
3481d8f4dcbSJay  io.icacheStop := !f3_ready
3491d8f4dcbSJay
3501d8f4dcbSJay  when(f2_flush)                                              {f2_icache_all_resp_reg := false.B}
3511d8f4dcbSJay  .elsewhen(f2_valid && f2_icache_all_resp_wire && !f3_ready) {f2_icache_all_resp_reg := true.B}
3521d8f4dcbSJay  .elsewhen(f2_fire && f2_icache_all_resp_reg)                {f2_icache_all_resp_reg := false.B}
35309c6f1ddSLingrui98
35409c6f1ddSLingrui98  when(f2_flush)                  {f2_valid := false.B}
35509c6f1ddSLingrui98  .elsewhen(f1_fire && !f1_flush) {f2_valid := true.B }
35609c6f1ddSLingrui98  .elsewhen(f2_fire)              {f2_valid := false.B}
35709c6f1ddSLingrui98
3581d8f4dcbSJay  val f2_except_pf    = VecInit((0 until PortNumber).map(i => fromICache(i).bits.tlbExcp.pageFault))
359*d0de7e4aSpeixiaokun  val f2_except_gpf   = VecInit((0 until PortNumber).map(i => fromICache(i).bits.tlbExcp.guestPageFault))
3601d8f4dcbSJay  val f2_except_af    = VecInit((0 until PortNumber).map(i => fromICache(i).bits.tlbExcp.accessFault))
361*d0de7e4aSpeixiaokun  val f2_gpaddrs      = VecInit((0 until PortNumber).map(i => fromICache(i).bits.gpaddr))
362*d0de7e4aSpeixiaokun  val f2_mmio         = fromICache(0).bits.tlbExcp.mmio &&
363*d0de7e4aSpeixiaokun    !fromICache(0).bits.tlbExcp.accessFault &&
364*d0de7e4aSpeixiaokun    !fromICache(0).bits.tlbExcp.pageFault   &&
365*d0de7e4aSpeixiaokun    !fromICache(0).bits.tlbExcp.guestPageFault
3660be662e4SJay
367005e809bSJiuyang Liu  val f2_pc               = RegEnable(f1_pc,  f1_fire)
368005e809bSJiuyang Liu  val f2_half_snpc        = RegEnable(f1_half_snpc,  f1_fire)
369005e809bSJiuyang Liu  val f2_cut_ptr          = RegEnable(f1_cut_ptr,  f1_fire)
370a37fbf10SJay
371005e809bSJiuyang Liu  val f2_resend_vaddr     = RegEnable(f1_ftq_req.startAddr + 2.U,  f1_fire)
3722a3050c2SJay
3732a3050c2SJay  def isNextLine(pc: UInt, startAddr: UInt) = {
3742a3050c2SJay    startAddr(blockOffBits) ^ pc(blockOffBits)
375b6982e83SLemover  }
37609c6f1ddSLingrui98
3772a3050c2SJay  def isLastInLine(pc: UInt) = {
3782a3050c2SJay    pc(blockOffBits - 1, 0) === "b111110".U
37909c6f1ddSLingrui98  }
38009c6f1ddSLingrui98
3812a3050c2SJay  val f2_foldpc = VecInit(f2_pc.map(i => XORFold(i(VAddrBits-1,1), MemPredPCWidth)))
3822a3050c2SJay  val f2_jump_range = Fill(PredictWidth, !f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~f2_ftq_req.ftqOffset.bits
3831d011975SJinYue  val f2_ftr_range  = Fill(PredictWidth,  f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~getBasicBlockIdx(f2_ftq_req.nextStartAddr, f2_ftq_req.startAddr)
3842a3050c2SJay  val f2_instr_range = f2_jump_range & f2_ftr_range
3852a3050c2SJay  val f2_pf_vec = VecInit((0 until PredictWidth).map(i => (!isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_except_pf(0)   ||  isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine &&  f2_except_pf(1))))
3862a3050c2SJay  val f2_af_vec = VecInit((0 until PredictWidth).map(i => (!isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_except_af(0)   ||  isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine && f2_except_af(1))))
387*d0de7e4aSpeixiaokun  val f2_gpf_vec = VecInit((0 until PredictWidth).map(i => (!isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_except_gpf(0) || isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine && f2_except_gpf(1))))
388*d0de7e4aSpeixiaokun  val f2_gpaddrs_vec = VecInit((0 until PredictWidth).map(i => Mux(!isNextLine(f2_pc(i), f2_ftq_req.startAddr), f2_gpaddrs(0), Mux(isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine, f2_gpaddrs(1), 0.U(GPAddrBits.W)))))  val f2_paddrs       = VecInit((0 until PortNumber).map(i => fromICache(i).bits.paddr))
3891d8f4dcbSJay  val f2_perf_info    = io.icachePerfInfo
39009c6f1ddSLingrui98
3912a3050c2SJay  def cut(cacheline: UInt, cutPtr: Vec[UInt]) : Vec[UInt] ={
392d558bd61SJenius    require(HasCExtension)
393d558bd61SJenius    // if(HasCExtension){
39409c6f1ddSLingrui98      val result   = Wire(Vec(PredictWidth + 1, UInt(16.W)))
395a61a35e0Sssszwic      val dataVec  = cacheline.asTypeOf(Vec(blockBytes/2, UInt(16.W))) //32 16-bit data vector
39609c6f1ddSLingrui98      (0 until PredictWidth + 1).foreach( i =>
397d558bd61SJenius        result(i) := dataVec(cutPtr(i)) //the max ptr is 3*blockBytes/4-1
39809c6f1ddSLingrui98      )
39909c6f1ddSLingrui98      result
400d558bd61SJenius    // } else {
401d558bd61SJenius    //   val result   = Wire(Vec(PredictWidth, UInt(32.W)) )
402d558bd61SJenius    //   val dataVec  = cacheline.asTypeOf(Vec(blockBytes * 2/ 4, UInt(32.W)))
403d558bd61SJenius    //   (0 until PredictWidth).foreach( i =>
404d558bd61SJenius    //     result(i) := dataVec(cutPtr(i))
405d558bd61SJenius    //   )
406d558bd61SJenius    //   result
407d558bd61SJenius    // }
40809c6f1ddSLingrui98  }
40909c6f1ddSLingrui98
410a61a35e0Sssszwic  val f2_cache_response_data = fromICache.map(_.bits.data)
411a61a35e0Sssszwic  val f2_data_2_cacheline = Cat(f2_cache_response_data(1), f2_cache_response_data(0))
412dc270d3bSJenius
413a61a35e0Sssszwic  val f2_cut_data   = cut(f2_data_2_cacheline, f2_cut_ptr)
41409c6f1ddSLingrui98
41558dbdfc2SJay  /** predecode (include RVC expander) */
416dc270d3bSJenius  // preDecoderRegIn.data := f2_reg_cut_data
417dc270d3bSJenius  // preDecoderRegInIn.frontendTrigger := io.frontendTrigger
418dc270d3bSJenius  // preDecoderRegInIn.csrTriggerEnable := io.csrTriggerEnable
419dc270d3bSJenius  // preDecoderRegIn.pc  := f2_pc
420dc270d3bSJenius
421a61a35e0Sssszwic  val preDecoderIn  = preDecoder.io.in
422a61a35e0Sssszwic  preDecoderIn.data := f2_cut_data
4232a3050c2SJay  preDecoderIn.frontendTrigger := io.frontendTrigger
4242a3050c2SJay  preDecoderIn.csrTriggerEnable := io.csrTriggerEnable
4252a3050c2SJay  preDecoderIn.pc  := f2_pc
426a61a35e0Sssszwic  val preDecoderOut = preDecoder.io.out
427a61a35e0Sssszwic
42809c6f1ddSLingrui98
42948a62719SJenius  //val f2_expd_instr     = preDecoderOut.expInstr
43048a62719SJenius  val f2_instr          = preDecoderOut.instr
4312a3050c2SJay  val f2_pd             = preDecoderOut.pd
4322a3050c2SJay  val f2_jump_offset    = preDecoderOut.jumpOffset
4332a3050c2SJay  val f2_hasHalfValid   =  preDecoderOut.hasHalfValid
4342a3050c2SJay  val f2_crossPageFault = VecInit((0 until PredictWidth).map(i => isLastInLine(f2_pc(i)) && !f2_except_pf(0) && f2_doubleLine &&  f2_except_pf(1) && !f2_pd(i).isRVC ))
435*d0de7e4aSpeixiaokun  val f2_crossGuestPageFault = VecInit((0 until PredictWidth).map(i => isLastInLine(f2_pc(i)) && !f2_except_gpf(0) && f2_doubleLine && f2_except_gpf(1) && !f2_pd(i).isRVC ))
43600240ba6SJay  XSPerfAccumulate("fetch_bubble_icache_not_resp",   f2_valid && !icacheRespAllValid )
43700240ba6SJay
43809c6f1ddSLingrui98
43958dbdfc2SJay  /**
44058dbdfc2SJay    ******************************************************************************
44158dbdfc2SJay    * IFU Stage 3
44258dbdfc2SJay    * - handle MMIO instruciton
44358dbdfc2SJay    *  -send request to Uncache fetch Unit
44458dbdfc2SJay    *  -every packet include 1 MMIO instruction
44558dbdfc2SJay    *  -MMIO instructions will stop fetch pipeline until commiting from RoB
44658dbdfc2SJay    *  -flush to snpc (send ifu_redirect to Ftq)
44758dbdfc2SJay    * - Ibuffer enqueue
44858dbdfc2SJay    * - check predict result in Frontend (jalFault/retFault/notCFIFault/invalidTakenFault/targetFault)
44958dbdfc2SJay    * - handle last half RVI instruction
45058dbdfc2SJay    ******************************************************************************
45158dbdfc2SJay    */
45258dbdfc2SJay
45309c6f1ddSLingrui98  val f3_valid          = RegInit(false.B)
454005e809bSJiuyang Liu  val f3_ftq_req        = RegEnable(f2_ftq_req,    f2_fire)
455005e809bSJiuyang Liu  // val f3_situation      = RegEnable(f2_situation,  f2_fire)
456005e809bSJiuyang Liu  val f3_doubleLine     = RegEnable(f2_doubleLine, f2_fire)
457935edac4STang Haojin  val f3_fire           = io.toIbuffer.fire
4581d8f4dcbSJay
459625ecd17SJenius  f3_ready := f3_fire || !f3_valid
46009c6f1ddSLingrui98
461a61a35e0Sssszwic  val f3_cut_data       = RegEnable(f2_cut_data, f2_fire)
4621d8f4dcbSJay
463005e809bSJiuyang Liu  val f3_except_pf      = RegEnable(f2_except_pf,  f2_fire)
464005e809bSJiuyang Liu  val f3_except_af      = RegEnable(f2_except_af,  f2_fire)
465*d0de7e4aSpeixiaokun  val f3_except_gpf     = RegEnable(f2_except_gpf,  f2_fire)
466005e809bSJiuyang Liu  val f3_mmio           = RegEnable(f2_mmio   ,  f2_fire)
46709c6f1ddSLingrui98
468935edac4STang Haojin  //val f3_expd_instr     = RegEnable(f2_expd_instr,  f2_fire)
469935edac4STang Haojin  val f3_instr          = RegEnable(f2_instr, f2_fire)
47048a62719SJenius  val f3_expd_instr     = VecInit((0 until PredictWidth).map{ i =>
47148a62719SJenius    val expander       = Module(new RVCExpander)
47248a62719SJenius    expander.io.in := f3_instr(i)
47348a62719SJenius    expander.io.out.bits
47448a62719SJenius  })
47548a62719SJenius
476935edac4STang Haojin  val f3_pd_wire        = RegEnable(f2_pd,          f2_fire)
477330aad7fSGuokai Chen  val f3_pd             = WireInit(f3_pd_wire)
478935edac4STang Haojin  val f3_jump_offset    = RegEnable(f2_jump_offset, f2_fire)
479935edac4STang Haojin  val f3_af_vec         = RegEnable(f2_af_vec,      f2_fire)
480935edac4STang Haojin  val f3_pf_vec         = RegEnable(f2_pf_vec ,     f2_fire)
481*d0de7e4aSpeixiaokun  val f3_gpf_vec        = RegEnable(next = f2_gpf_vec,     enable = f2_fire)
482*d0de7e4aSpeixiaokun  val f3_gpaddrs        = RegEnable(next = f2_gpaddrs_vec, enable = f2_fire)
483935edac4STang Haojin  val f3_pc             = RegEnable(f2_pc,          f2_fire)
484935edac4STang Haojin  val f3_half_snpc      = RegEnable(f2_half_snpc,   f2_fire)
485935edac4STang Haojin  val f3_instr_range    = RegEnable(f2_instr_range, f2_fire)
486935edac4STang Haojin  val f3_foldpc         = RegEnable(f2_foldpc,      f2_fire)
487935edac4STang Haojin  val f3_crossPageFault = RegEnable(f2_crossPageFault,      f2_fire)
488*d0de7e4aSpeixiaokun  val f3_crossGuestPageFault = RegEnable(next = f2_crossGuestPageFault, enable = f2_fire)
489935edac4STang Haojin  val f3_hasHalfValid   = RegEnable(f2_hasHalfValid,      f2_fire)
490*d0de7e4aSpeixiaokun  val f3_except         = VecInit((0 until 2).map{i => f3_except_pf(i) || f3_except_af(i) || f3_except_gpf(i)})
491*d0de7e4aSpeixiaokun  val f3_has_except     = f3_valid && (f3_except_af.reduce(_||_) || f3_except_pf.reduce(_||_) || f3_except_gpf.reduce(_||_))
492005e809bSJiuyang Liu  val f3_pAddrs         = RegEnable(f2_paddrs,  f2_fire)
493005e809bSJiuyang Liu  val f3_resend_vaddr   = RegEnable(f2_resend_vaddr,       f2_fire)
494ee175d78SJay
495cb6e5d3cSssszwic  // Expand 1 bit to prevent overflow when assert
496cb6e5d3cSssszwic  val f3_ftq_req_startAddr      = Cat(0.U(1.W), f3_ftq_req.startAddr)
497cb6e5d3cSssszwic  val f3_ftq_req_nextStartAddr  = Cat(0.U(1.W), f3_ftq_req.nextStartAddr)
498330aad7fSGuokai Chen  // brType, isCall and isRet generation is delayed to f3 stage
499330aad7fSGuokai Chen  val f3Predecoder = Module(new F3Predecoder)
500330aad7fSGuokai Chen
501330aad7fSGuokai Chen  f3Predecoder.io.in.instr := f3_instr
502330aad7fSGuokai Chen
503330aad7fSGuokai Chen  f3_pd.zipWithIndex.map{ case (pd,i) =>
504330aad7fSGuokai Chen    pd.brType := f3Predecoder.io.out.pd(i).brType
505330aad7fSGuokai Chen    pd.isCall := f3Predecoder.io.out.pd(i).isCall
506330aad7fSGuokai Chen    pd.isRet  := f3Predecoder.io.out.pd(i).isRet
507330aad7fSGuokai Chen  }
508330aad7fSGuokai Chen
509330aad7fSGuokai Chen  val f3PdDiff = f3_pd_wire.zip(f3_pd).map{ case (a,b) => a.asUInt =/= b.asUInt }.reduce(_||_)
510330aad7fSGuokai Chen  XSError(f3_valid && f3PdDiff, "f3 pd diff")
511330aad7fSGuokai Chen
5121d011975SJinYue  when(f3_valid && !f3_ftq_req.ftqOffset.valid){
513cb6e5d3cSssszwic    assert(f3_ftq_req_startAddr + (2*PredictWidth).U >= f3_ftq_req_nextStartAddr, s"More tha ${2*PredictWidth} Bytes fetch is not allowed!")
5141d011975SJinYue  }
515a1351e5dSJay
5162a3050c2SJay  /*** MMIO State Machine***/
517ee175d78SJay  val f3_mmio_data    = Reg(Vec(2, UInt(16.W)))
518ee175d78SJay  val mmio_is_RVC     = RegInit(false.B)
519ee175d78SJay  val mmio_resend_addr =RegInit(0.U(PAddrBits.W))
520ee175d78SJay  val mmio_resend_af  = RegInit(false.B)
521c3b2d83aSJay  val mmio_resend_pf  = RegInit(false.B)
522*d0de7e4aSpeixiaokun  val mmio_resend_gpf = RegInit(false.B)
523c3b2d83aSJay
5241d1e6d4dSJenius  //last instuction finish
5251d1e6d4dSJenius  val is_first_instr = RegInit(true.B)
5261d1e6d4dSJenius  io.mmioCommitRead.mmioFtqPtr := RegNext(f3_ftq_req.ftqIdx + 1.U)
527a37fbf10SJay
5281d1e6d4dSJenius  val m_idle :: m_waitLastCmt:: m_sendReq :: m_waitResp :: m_sendTLB :: m_tlbResp :: m_sendPMP :: m_resendReq :: m_waitResendResp :: m_waitCommit :: m_commited :: Nil = Enum(11)
529ee175d78SJay  val mmio_state = RegInit(m_idle)
530a37fbf10SJay
5319bae7d6eSJay  val f3_req_is_mmio     = f3_mmio && f3_valid
5322a3050c2SJay  val mmio_commit = VecInit(io.rob_commits.map{commit => commit.valid && commit.bits.ftqIdx === f3_ftq_req.ftqIdx &&  commit.bits.ftqOffset === 0.U}).asUInt.orR
533ee175d78SJay  val f3_mmio_req_commit = f3_req_is_mmio && mmio_state === m_commited
534a37fbf10SJay
535ee175d78SJay  val f3_mmio_to_commit =  f3_req_is_mmio && mmio_state === m_waitCommit
536a37fbf10SJay  val f3_mmio_to_commit_next = RegNext(f3_mmio_to_commit)
537a37fbf10SJay  val f3_mmio_can_go      = f3_mmio_to_commit && !f3_mmio_to_commit_next
538a37fbf10SJay
5394a74a727SJenius  val fromFtqRedirectReg    = RegNext(fromFtq.redirect,init = 0.U.asTypeOf(fromFtq.redirect))
5404a74a727SJenius  val mmioF3Flush           = RegNext(f3_flush,init = false.B)
54156788a33SJinYue  val f3_ftq_flush_self     = fromFtqRedirectReg.valid && RedirectLevel.flushItself(fromFtqRedirectReg.bits.level)
54256788a33SJinYue  val f3_ftq_flush_by_older = fromFtqRedirectReg.valid && isBefore(fromFtqRedirectReg.bits.ftqIdx, f3_ftq_req.ftqIdx)
5439bae7d6eSJay
54456788a33SJinYue  val f3_need_not_flush = f3_req_is_mmio && fromFtqRedirectReg.valid && !f3_ftq_flush_self && !f3_ftq_flush_by_older
5459bae7d6eSJay
5461d1e6d4dSJenius  when(is_first_instr && mmio_commit){
5471d1e6d4dSJenius    is_first_instr := false.B
5481d1e6d4dSJenius  }
5491d1e6d4dSJenius
5504a74a727SJenius  when(f3_flush && !f3_req_is_mmio)                                                 {f3_valid := false.B}
5514a74a727SJenius  .elsewhen(mmioF3Flush && f3_req_is_mmio && !f3_need_not_flush)                    {f3_valid := false.B}
552a37fbf10SJay  .elsewhen(f2_fire && !f2_flush )                                                  {f3_valid := true.B }
553935edac4STang Haojin  .elsewhen(io.toIbuffer.fire && !f3_req_is_mmio)                                   {f3_valid := false.B}
554a37fbf10SJay  .elsewhen{f3_req_is_mmio && f3_mmio_req_commit}                                   {f3_valid := false.B}
555a37fbf10SJay
556a37fbf10SJay  val f3_mmio_use_seq_pc = RegInit(false.B)
557a37fbf10SJay
55856788a33SJinYue  val (redirect_ftqIdx, redirect_ftqOffset)  = (fromFtqRedirectReg.bits.ftqIdx,fromFtqRedirectReg.bits.ftqOffset)
55956788a33SJinYue  val redirect_mmio_req = fromFtqRedirectReg.valid && redirect_ftqIdx === f3_ftq_req.ftqIdx && redirect_ftqOffset === 0.U
560a37fbf10SJay
561a37fbf10SJay  when(RegNext(f2_fire && !f2_flush) && f3_req_is_mmio)        { f3_mmio_use_seq_pc := true.B  }
562a37fbf10SJay  .elsewhen(redirect_mmio_req)                                 { f3_mmio_use_seq_pc := false.B }
563a37fbf10SJay
564a37fbf10SJay  f3_ready := Mux(f3_req_is_mmio, io.toIbuffer.ready && f3_mmio_req_commit || !f3_valid , io.toIbuffer.ready || !f3_valid)
565a37fbf10SJay
5661d1e6d4dSJenius  // mmio state machine
567a37fbf10SJay  switch(mmio_state){
568ee175d78SJay    is(m_idle){
5699bae7d6eSJay      when(f3_req_is_mmio){
5701d1e6d4dSJenius        mmio_state :=  m_waitLastCmt
5711d1e6d4dSJenius      }
5721d1e6d4dSJenius    }
5731d1e6d4dSJenius
5741d1e6d4dSJenius    is(m_waitLastCmt){
5751d1e6d4dSJenius      when(is_first_instr){
576ee175d78SJay        mmio_state := m_sendReq
5771d1e6d4dSJenius      }.otherwise{
5781d1e6d4dSJenius        mmio_state := Mux(io.mmioCommitRead.mmioLastCommit, m_sendReq, m_waitLastCmt)
579a37fbf10SJay      }
580a37fbf10SJay    }
581a37fbf10SJay
582ee175d78SJay    is(m_sendReq){
583935edac4STang Haojin      mmio_state :=  Mux(toUncache.fire, m_waitResp, m_sendReq )
584a37fbf10SJay    }
585a37fbf10SJay
586ee175d78SJay    is(m_waitResp){
587935edac4STang Haojin      when(fromUncache.fire){
588a37fbf10SJay          val isRVC =  fromUncache.bits.data(1,0) =/= 3.U
589ee175d78SJay          val needResend = !isRVC && f3_pAddrs(0)(2,1) === 3.U
590ee175d78SJay          mmio_state :=  Mux(needResend, m_sendTLB , m_waitCommit)
591ee175d78SJay
592ee175d78SJay          mmio_is_RVC := isRVC
593ee175d78SJay          f3_mmio_data(0)   :=  fromUncache.bits.data(15,0)
594ee175d78SJay          f3_mmio_data(1)   :=  fromUncache.bits.data(31,16)
595a37fbf10SJay      }
596a37fbf10SJay    }
597a37fbf10SJay
598ee175d78SJay    is(m_sendTLB){
599c3b2d83aSJay      when( io.iTLBInter.req.valid && !io.iTLBInter.resp.bits.miss ){
600ee175d78SJay        mmio_state :=  m_tlbResp
601a37fbf10SJay      }
602c3b2d83aSJay    }
603a37fbf10SJay
604ee175d78SJay    is(m_tlbResp){
60503efd994Shappy-lx      val tlbExept = io.iTLBInter.resp.bits.excp(0).pf.instr ||
606*d0de7e4aSpeixiaokun                     io.iTLBInter.resp.bits.excp(0).af.instr ||
607*d0de7e4aSpeixiaokun                     io.iTLBInter.resp.bits.excp(0).gpf.instr
608c3b2d83aSJay      mmio_state :=  Mux(tlbExept,m_waitCommit,m_sendPMP)
60903efd994Shappy-lx      mmio_resend_addr := io.iTLBInter.resp.bits.paddr(0)
610920ca00eSJay      mmio_resend_af := mmio_resend_af || io.iTLBInter.resp.bits.excp(0).af.instr
611920ca00eSJay      mmio_resend_pf := mmio_resend_pf || io.iTLBInter.resp.bits.excp(0).pf.instr
612*d0de7e4aSpeixiaokun      mmio_resend_gpf := mmio_resend_gpf || io.iTLBInter.resp.bits.excp(0).gpf.instr
613ee175d78SJay    }
614ee175d78SJay
615ee175d78SJay    is(m_sendPMP){
616c3b2d83aSJay      val pmpExcpAF = io.pmp.resp.instr || !io.pmp.resp.mmio
617ee175d78SJay      mmio_state :=  Mux(pmpExcpAF, m_waitCommit , m_resendReq)
618ee175d78SJay      mmio_resend_af := pmpExcpAF
619ee175d78SJay    }
620ee175d78SJay
621ee175d78SJay    is(m_resendReq){
622935edac4STang Haojin      mmio_state :=  Mux(toUncache.fire, m_waitResendResp, m_resendReq )
623ee175d78SJay    }
624ee175d78SJay
625ee175d78SJay    is(m_waitResendResp){
626935edac4STang Haojin      when(fromUncache.fire){
627ee175d78SJay          mmio_state :=  m_waitCommit
628ee175d78SJay          f3_mmio_data(1)   :=  fromUncache.bits.data(15,0)
629a37fbf10SJay      }
630a37fbf10SJay    }
631a37fbf10SJay
632ee175d78SJay    is(m_waitCommit){
6332a3050c2SJay      when(mmio_commit){
634ee175d78SJay          mmio_state  :=  m_commited
635a37fbf10SJay      }
636a37fbf10SJay    }
6372a3050c2SJay
638ee175d78SJay    //normal mmio instruction
639ee175d78SJay    is(m_commited){
640ee175d78SJay      mmio_state := m_idle
641ee175d78SJay      mmio_is_RVC := false.B
642ee175d78SJay      mmio_resend_addr := 0.U
6432a3050c2SJay    }
644a37fbf10SJay  }
645a37fbf10SJay
6468abe1810SEaston Man  // Exception or flush by older branch prediction
6478abe1810SEaston Man  // Condition is from RegNext(fromFtq.redirect), 1 cycle after backend rediect
648167bcd01SJay  when(f3_ftq_flush_self || f3_ftq_flush_by_older)  {
649ee175d78SJay    mmio_state := m_idle
650ee175d78SJay    mmio_is_RVC := false.B
651ee175d78SJay    mmio_resend_addr := 0.U
652ee175d78SJay    mmio_resend_af := false.B
653ee175d78SJay    f3_mmio_data.map(_ := 0.U)
6549bae7d6eSJay  }
6559bae7d6eSJay
656ee175d78SJay  toUncache.valid     :=  ((mmio_state === m_sendReq) || (mmio_state === m_resendReq)) && f3_req_is_mmio
657ee175d78SJay  toUncache.bits.addr := Mux((mmio_state === m_resendReq), mmio_resend_addr, f3_pAddrs(0))
658a37fbf10SJay  fromUncache.ready   := true.B
659a37fbf10SJay
660ee175d78SJay  io.iTLBInter.req.valid         := (mmio_state === m_sendTLB) && f3_req_is_mmio
661ee175d78SJay  io.iTLBInter.req.bits.size     := 3.U
662ee175d78SJay  io.iTLBInter.req.bits.vaddr    := f3_resend_vaddr
663ee175d78SJay  io.iTLBInter.req.bits.debug.pc := f3_resend_vaddr
664*d0de7e4aSpeixiaokun  io.iTLBInter.req.bits.hyperinst:= DontCare
665*d0de7e4aSpeixiaokun  io.iTLBInter.req.bits.hlvx     := DontCare
666ee175d78SJay
667f1fe8698SLemover  io.iTLBInter.req.bits.kill                := false.B // IFU use itlb for mmio, doesn't need sync, set it to false
668ee175d78SJay  io.iTLBInter.req.bits.cmd                 := TlbCmd.exec
6698744445eSMaxpicca-Li  io.iTLBInter.req.bits.memidx              := DontCare
670f1fe8698SLemover  io.iTLBInter.req.bits.debug.robIdx        := DontCare
671b52348aeSWilliam Wang  io.iTLBInter.req.bits.no_translate        := false.B
672ee175d78SJay  io.iTLBInter.req.bits.debug.isFirstIssue  := DontCare
673ee175d78SJay
674ee175d78SJay  io.pmp.req.valid := (mmio_state === m_sendPMP) && f3_req_is_mmio
675ee175d78SJay  io.pmp.req.bits.addr  := mmio_resend_addr
676ee175d78SJay  io.pmp.req.bits.size  := 3.U
677ee175d78SJay  io.pmp.req.bits.cmd   := TlbCmd.exec
678f7c29b0aSJinYue
6792a3050c2SJay  val f3_lastHalf       = RegInit(0.U.asTypeOf(new LastHalfInfo))
68009c6f1ddSLingrui98
68109c6f1ddSLingrui98  val f3_predecode_range = VecInit(preDecoderOut.pd.map(inst => inst.valid)).asUInt
6820be662e4SJay  val f3_mmio_range      = VecInit((0 until PredictWidth).map(i => if(i ==0) true.B else false.B))
6832a3050c2SJay  val f3_instr_valid     = Wire(Vec(PredictWidth, Bool()))
68409c6f1ddSLingrui98
6852a3050c2SJay  /*** prediction result check   ***/
6862a3050c2SJay  checkerIn.ftqOffset   := f3_ftq_req.ftqOffset
6872a3050c2SJay  checkerIn.jumpOffset  := f3_jump_offset
6886ce52296SJinYue  checkerIn.target      := f3_ftq_req.nextStartAddr
6892a3050c2SJay  checkerIn.instrRange  := f3_instr_range.asTypeOf(Vec(PredictWidth, Bool()))
6902a3050c2SJay  checkerIn.instrValid  := f3_instr_valid.asTypeOf(Vec(PredictWidth, Bool()))
6912a3050c2SJay  checkerIn.pds         := f3_pd
6922a3050c2SJay  checkerIn.pc          := f3_pc
6932a3050c2SJay
69458dbdfc2SJay  /*** handle half RVI in the last 2 Bytes  ***/
6952a3050c2SJay
6962a3050c2SJay  def hasLastHalf(idx: UInt) = {
6975995c9e7SJenius    //!f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(idx) && !checkerOutStage2.fixedMissPred(idx) && ! f3_req_is_mmio
6985995c9e7SJenius    !f3_pd(idx).isRVC && checkerOutStage1.fixedRange(idx) && f3_instr_valid(idx) && !checkerOutStage1.fixedTaken(idx) && ! f3_req_is_mmio
6992a3050c2SJay  }
7002a3050c2SJay
701b665b650STang Haojin  val f3_last_validIdx       = ParallelPosteriorityEncoder(checkerOutStage1.fixedRange)
7022a3050c2SJay
7032a3050c2SJay  val f3_hasLastHalf         = hasLastHalf((PredictWidth - 1).U)
7042a3050c2SJay  val f3_false_lastHalf      = hasLastHalf(f3_last_validIdx)
7052a3050c2SJay  val f3_false_snpc          = f3_half_snpc(f3_last_validIdx)
7062a3050c2SJay
707935edac4STang Haojin  val f3_lastHalf_mask    = VecInit((0 until PredictWidth).map( i => if(i ==0) false.B else true.B )).asUInt
7083f785aa3SJenius  val f3_lastHalf_disable = RegInit(false.B)
7092a3050c2SJay
710804985a5SJenius  when(f3_flush || (f3_fire && f3_lastHalf_disable)){
711804985a5SJenius    f3_lastHalf_disable := false.B
712804985a5SJenius  }
713804985a5SJenius
7142a3050c2SJay  when (f3_flush) {
7152a3050c2SJay    f3_lastHalf.valid := false.B
7162a3050c2SJay  }.elsewhen (f3_fire) {
7173f785aa3SJenius    f3_lastHalf.valid := f3_hasLastHalf && !f3_lastHalf_disable
7186ce52296SJinYue    f3_lastHalf.middlePC := f3_ftq_req.nextStartAddr
7192a3050c2SJay  }
7202a3050c2SJay
7212a3050c2SJay  f3_instr_valid := Mux(f3_lastHalf.valid,f3_hasHalfValid ,VecInit(f3_pd.map(inst => inst.valid)))
7222a3050c2SJay
7232a3050c2SJay  /*** frontend Trigger  ***/
7242a3050c2SJay  frontendTrigger.io.pds  := f3_pd
7252a3050c2SJay  frontendTrigger.io.pc   := f3_pc
7262a3050c2SJay  frontendTrigger.io.data   := f3_cut_data
7272a3050c2SJay
7282a3050c2SJay  frontendTrigger.io.frontendTrigger  := io.frontendTrigger
7292a3050c2SJay  frontendTrigger.io.csrTriggerEnable := io.csrTriggerEnable
7302a3050c2SJay
7312a3050c2SJay  val f3_triggered = frontendTrigger.io.triggered
7322a3050c2SJay
7332a3050c2SJay  /*** send to Ibuffer  ***/
7342a3050c2SJay
7352a3050c2SJay  io.toIbuffer.valid            := f3_valid && (!f3_req_is_mmio || f3_mmio_can_go) && !f3_flush
7362a3050c2SJay  io.toIbuffer.bits.instrs      := f3_expd_instr
7372a3050c2SJay  io.toIbuffer.bits.valid       := f3_instr_valid.asUInt
7385995c9e7SJenius  io.toIbuffer.bits.enqEnable   := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt
7392a3050c2SJay  io.toIbuffer.bits.pd          := f3_pd
74009c6f1ddSLingrui98  io.toIbuffer.bits.ftqPtr      := f3_ftq_req.ftqIdx
7412a3050c2SJay  io.toIbuffer.bits.pc          := f3_pc
742*d0de7e4aSpeixiaokun  io.toIbuffer.bits.gpaddr      := f3_gpaddrs
7435995c9e7SJenius  io.toIbuffer.bits.ftqOffset.zipWithIndex.map{case(a, i) => a.bits := i.U; a.valid := checkerOutStage1.fixedTaken(i) && !f3_req_is_mmio}
7442a3050c2SJay  io.toIbuffer.bits.foldpc      := f3_foldpc
7453908fff2SJay  io.toIbuffer.bits.ipf         := VecInit(f3_pf_vec.zip(f3_crossPageFault).map{case (pf, crossPF) => pf || crossPF})
746*d0de7e4aSpeixiaokun  io.toIbuffer.bits.igpf        := VecInit(f3_gpf_vec.zip(f3_crossGuestPageFault).map{case (gpf, crossGPF) => gpf || crossGPF})
7472a3050c2SJay  io.toIbuffer.bits.acf         := f3_af_vec
748*d0de7e4aSpeixiaokun  io.toIbuffer.bits.crossPageIPFFix := (0 until PredictWidth).map(i => f3_crossPageFault(i) || f3_crossGuestPageFault(i))
7492a3050c2SJay  io.toIbuffer.bits.triggered   := f3_triggered
7502a3050c2SJay
7512a3050c2SJay  when(f3_lastHalf.valid){
7525995c9e7SJenius    io.toIbuffer.bits.enqEnable := checkerOutStage1.fixedRange.asUInt & f3_instr_valid.asUInt & f3_lastHalf_mask
7532a3050c2SJay    io.toIbuffer.bits.valid     := f3_lastHalf_mask & f3_instr_valid.asUInt
7542a3050c2SJay  }
7552a3050c2SJay
7562a3050c2SJay
75709c6f1ddSLingrui98
75809c6f1ddSLingrui98  //Write back to Ftq
759a37fbf10SJay  val f3_cache_fetch = f3_valid && !(f2_fire && !f2_flush)
760a37fbf10SJay  val finishFetchMaskReg = RegNext(f3_cache_fetch)
761a37fbf10SJay
7622a3050c2SJay  val mmioFlushWb = Wire(Valid(new PredecodeWritebackBundle))
7630be662e4SJay  val f3_mmio_missOffset = Wire(ValidUndirectioned(UInt(log2Ceil(PredictWidth).W)))
764a37fbf10SJay  f3_mmio_missOffset.valid := f3_req_is_mmio
7650be662e4SJay  f3_mmio_missOffset.bits  := 0.U
7660be662e4SJay
7678abe1810SEaston Man  // Send mmioFlushWb back to FTQ 1 cycle after uncache fetch return
7688abe1810SEaston Man  // When backend redirect, mmio_state reset after 1 cycle.
7698abe1810SEaston Man  // In this case, mask .valid to avoid overriding backend redirect
7708abe1810SEaston Man  mmioFlushWb.valid           := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire) &&
7718abe1810SEaston Man    f3_mmio_use_seq_pc && !f3_ftq_flush_self && !f3_ftq_flush_by_older)
7722a3050c2SJay  mmioFlushWb.bits.pc         := f3_pc
7732a3050c2SJay  mmioFlushWb.bits.pd         := f3_pd
7742a3050c2SJay  mmioFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid :=  f3_mmio_range(i)}
7752a3050c2SJay  mmioFlushWb.bits.ftqIdx     := f3_ftq_req.ftqIdx
7762a3050c2SJay  mmioFlushWb.bits.ftqOffset  := f3_ftq_req.ftqOffset.bits
7772a3050c2SJay  mmioFlushWb.bits.misOffset  := f3_mmio_missOffset
7782a3050c2SJay  mmioFlushWb.bits.cfiOffset  := DontCare
779ee175d78SJay  mmioFlushWb.bits.target     := Mux(mmio_is_RVC, f3_ftq_req.startAddr + 2.U , f3_ftq_req.startAddr + 4.U)
7802a3050c2SJay  mmioFlushWb.bits.jalTarget  := DontCare
7812a3050c2SJay  mmioFlushWb.bits.instrRange := f3_mmio_range
78209c6f1ddSLingrui98
7832dfa9e76SJenius  /** external predecode for MMIO instruction */
7842dfa9e76SJenius  when(f3_req_is_mmio){
7852dfa9e76SJenius    val inst  = Cat(f3_mmio_data(1), f3_mmio_data(0))
7862dfa9e76SJenius    val currentIsRVC   = isRVC(inst)
7872dfa9e76SJenius
7882dfa9e76SJenius    val brType::isCall::isRet::Nil = brInfo(inst)
7892dfa9e76SJenius    val jalOffset = jal_offset(inst, currentIsRVC)
7902dfa9e76SJenius    val brOffset  = br_offset(inst, currentIsRVC)
7912dfa9e76SJenius
792084afb77STang Haojin    io.toIbuffer.bits.instrs(0) := new RVCDecoder(inst, XLEN, useAddiForMv = true).decode.bits
7932dfa9e76SJenius
7942dfa9e76SJenius
7952dfa9e76SJenius    io.toIbuffer.bits.pd(0).valid   := true.B
7962dfa9e76SJenius    io.toIbuffer.bits.pd(0).isRVC   := currentIsRVC
7972dfa9e76SJenius    io.toIbuffer.bits.pd(0).brType  := brType
7982dfa9e76SJenius    io.toIbuffer.bits.pd(0).isCall  := isCall
7992dfa9e76SJenius    io.toIbuffer.bits.pd(0).isRet   := isRet
8002dfa9e76SJenius
8012dfa9e76SJenius    io.toIbuffer.bits.acf(0) := mmio_resend_af
8022dfa9e76SJenius    io.toIbuffer.bits.ipf(0) := mmio_resend_pf
8032dfa9e76SJenius    io.toIbuffer.bits.crossPageIPFFix(0) := mmio_resend_pf
8042dfa9e76SJenius
8052dfa9e76SJenius    io.toIbuffer.bits.enqEnable   := f3_mmio_range.asUInt
8062dfa9e76SJenius
8072dfa9e76SJenius    mmioFlushWb.bits.pd(0).valid   := true.B
8082dfa9e76SJenius    mmioFlushWb.bits.pd(0).isRVC   := currentIsRVC
8092dfa9e76SJenius    mmioFlushWb.bits.pd(0).brType  := brType
8102dfa9e76SJenius    mmioFlushWb.bits.pd(0).isCall  := isCall
8112dfa9e76SJenius    mmioFlushWb.bits.pd(0).isRet   := isRet
8122dfa9e76SJenius  }
8132dfa9e76SJenius
814935edac4STang Haojin  mmio_redirect := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire)  && f3_mmio_use_seq_pc)
81509c6f1ddSLingrui98
81600240ba6SJay  XSPerfAccumulate("fetch_bubble_ibuffer_not_ready",   io.toIbuffer.valid && !io.toIbuffer.ready )
81700240ba6SJay
81800240ba6SJay
81958dbdfc2SJay  /**
82058dbdfc2SJay    ******************************************************************************
82158dbdfc2SJay    * IFU Write Back Stage
82258dbdfc2SJay    * - write back predecode information to Ftq to update
82358dbdfc2SJay    * - redirect if found fault prediction
82458dbdfc2SJay    * - redirect if has false hit last half (last PC is not start + 32 Bytes, but in the midle of an notCFI RVI instruction)
82558dbdfc2SJay    ******************************************************************************
8262a3050c2SJay    */
82758dbdfc2SJay
8282a3050c2SJay  val wb_valid          = RegNext(RegNext(f2_fire && !f2_flush) && !f3_req_is_mmio && !f3_flush)
8292a3050c2SJay  val wb_ftq_req        = RegNext(f3_ftq_req)
830cd365d4cSrvcoresjw
8315995c9e7SJenius  val wb_check_result_stage1   = RegNext(checkerOutStage1)
8325995c9e7SJenius  val wb_check_result_stage2   = checkerOutStage2
8332a3050c2SJay  val wb_instr_range    = RegNext(io.toIbuffer.bits.enqEnable)
8342a3050c2SJay  val wb_pc             = RegNext(f3_pc)
8352a3050c2SJay  val wb_pd             = RegNext(f3_pd)
8362a3050c2SJay  val wb_instr_valid    = RegNext(f3_instr_valid)
8372a3050c2SJay
8382a3050c2SJay  /* false hit lastHalf */
8392a3050c2SJay  val wb_lastIdx        = RegNext(f3_last_validIdx)
8402a3050c2SJay  val wb_false_lastHalf = RegNext(f3_false_lastHalf) && wb_lastIdx =/= (PredictWidth - 1).U
8412a3050c2SJay  val wb_false_target   = RegNext(f3_false_snpc)
8422a3050c2SJay
8432a3050c2SJay  val wb_half_flush = wb_false_lastHalf
8442a3050c2SJay  val wb_half_target = wb_false_target
8452a3050c2SJay
846a1351e5dSJay  /* false oversize */
847a1351e5dSJay  val lastIsRVC = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool())).last  && wb_pd.last.isRVC
848a1351e5dSJay  val lastIsRVI = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool()))(PredictWidth - 2) && !wb_pd(PredictWidth - 2).isRVC
8495995c9e7SJenius  val lastTaken = wb_check_result_stage1.fixedTaken.last
850a1351e5dSJay
8512a3050c2SJay  f3_wb_not_flush := wb_ftq_req.ftqIdx === f3_ftq_req.ftqIdx && f3_valid && wb_valid
8522a3050c2SJay
8533f785aa3SJenius  /** if a req with a last half but miss predicted enters in wb stage, and this cycle f3 stalls,
8543f785aa3SJenius    * we set a flag to notify f3 that the last half flag need not to be set.
8553f785aa3SJenius    */
856804985a5SJenius  //f3_fire is after wb_valid
857076dea5fSJenius  when(wb_valid && RegNext(f3_hasLastHalf,init = false.B)
858251a37e4SJenius        && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && !f3_fire  && !RegNext(f3_fire,init = false.B) && !f3_flush
8593f785aa3SJenius      ){
8603f785aa3SJenius    f3_lastHalf_disable := true.B
861ab6202e2SJenius  }
862ab6202e2SJenius
863804985a5SJenius  //wb_valid and f3_fire are in same cycle
864076dea5fSJenius  when(wb_valid && RegNext(f3_hasLastHalf,init = false.B)
865076dea5fSJenius        && wb_check_result_stage2.fixedMissPred(PredictWidth - 1) && f3_fire
866804985a5SJenius      ){
867804985a5SJenius    f3_lastHalf.valid := false.B
868804985a5SJenius  }
869804985a5SJenius
8702a3050c2SJay  val checkFlushWb = Wire(Valid(new PredecodeWritebackBundle))
871b665b650STang Haojin  val checkFlushWbjalTargetIdx = ParallelPriorityEncoder(VecInit(wb_pd.zip(wb_instr_valid).map{case (pd, v) => v && pd.isJal }))
872b665b650STang Haojin  val checkFlushWbTargetIdx = ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred)
8732a3050c2SJay  checkFlushWb.valid                  := wb_valid
8742a3050c2SJay  checkFlushWb.bits.pc                := wb_pc
8752a3050c2SJay  checkFlushWb.bits.pd                := wb_pd
8762a3050c2SJay  checkFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid := wb_instr_valid(i)}
8772a3050c2SJay  checkFlushWb.bits.ftqIdx            := wb_ftq_req.ftqIdx
8782a3050c2SJay  checkFlushWb.bits.ftqOffset         := wb_ftq_req.ftqOffset.bits
8795995c9e7SJenius  checkFlushWb.bits.misOffset.valid   := ParallelOR(wb_check_result_stage2.fixedMissPred) || wb_half_flush
8805995c9e7SJenius  checkFlushWb.bits.misOffset.bits    := Mux(wb_half_flush, wb_lastIdx, ParallelPriorityEncoder(wb_check_result_stage2.fixedMissPred))
8815995c9e7SJenius  checkFlushWb.bits.cfiOffset.valid   := ParallelOR(wb_check_result_stage1.fixedTaken)
8825995c9e7SJenius  checkFlushWb.bits.cfiOffset.bits    := ParallelPriorityEncoder(wb_check_result_stage1.fixedTaken)
883b665b650STang Haojin  checkFlushWb.bits.target            := Mux(wb_half_flush, wb_half_target, wb_check_result_stage2.fixedTarget(checkFlushWbTargetIdx))
884d10ddd67SGuokai Chen  checkFlushWb.bits.jalTarget         := wb_check_result_stage2.jalTarget(checkFlushWbjalTargetIdx)
8852a3050c2SJay  checkFlushWb.bits.instrRange        := wb_instr_range.asTypeOf(Vec(PredictWidth, Bool()))
8862a3050c2SJay
887bccc5520SJenius  toFtq.pdWb := Mux(wb_valid, checkFlushWb,  mmioFlushWb)
8882a3050c2SJay
8892a3050c2SJay  wb_redirect := checkFlushWb.bits.misOffset.valid && wb_valid
89009c6f1ddSLingrui98
8915b3c20f7SJinYue  /*write back flush type*/
8925995c9e7SJenius  val checkFaultType = wb_check_result_stage2.faultType
8935b3c20f7SJinYue  val checkJalFault =  wb_valid && checkFaultType.map(_.isjalFault).reduce(_||_)
8945b3c20f7SJinYue  val checkRetFault =  wb_valid && checkFaultType.map(_.isRetFault).reduce(_||_)
8955b3c20f7SJinYue  val checkTargetFault =  wb_valid && checkFaultType.map(_.istargetFault).reduce(_||_)
8965b3c20f7SJinYue  val checkNotCFIFault =  wb_valid && checkFaultType.map(_.notCFIFault).reduce(_||_)
8975b3c20f7SJinYue  val checkInvalidTaken =  wb_valid && checkFaultType.map(_.invalidTakenFault).reduce(_||_)
8985b3c20f7SJinYue
8995b3c20f7SJinYue
9005b3c20f7SJinYue  XSPerfAccumulate("predecode_flush_jalFault",   checkJalFault )
9015b3c20f7SJinYue  XSPerfAccumulate("predecode_flush_retFault",   checkRetFault )
9025b3c20f7SJinYue  XSPerfAccumulate("predecode_flush_targetFault",   checkTargetFault )
9035b3c20f7SJinYue  XSPerfAccumulate("predecode_flush_notCFIFault",   checkNotCFIFault )
9045b3c20f7SJinYue  XSPerfAccumulate("predecode_flush_incalidTakenFault",   checkInvalidTaken )
9055b3c20f7SJinYue
9065b3c20f7SJinYue  when(checkRetFault){
9075b3c20f7SJinYue    XSDebug("startAddr:%x  nextstartAddr:%x  taken:%d    takenIdx:%d\n",
9085b3c20f7SJinYue        wb_ftq_req.startAddr, wb_ftq_req.nextStartAddr, wb_ftq_req.ftqOffset.valid, wb_ftq_req.ftqOffset.bits)
9095b3c20f7SJinYue  }
9105b3c20f7SJinYue
91151532d8bSGuokai Chen
9121d8f4dcbSJay  /** performance counter */
913005e809bSJiuyang Liu  val f3_perf_info     = RegEnable(f2_perf_info,  f2_fire)
914935edac4STang Haojin  val f3_req_0    = io.toIbuffer.fire
915935edac4STang Haojin  val f3_req_1    = io.toIbuffer.fire && f3_doubleLine
916935edac4STang Haojin  val f3_hit_0    = io.toIbuffer.fire && f3_perf_info.bank_hit(0)
917935edac4STang Haojin  val f3_hit_1    = io.toIbuffer.fire && f3_doubleLine & f3_perf_info.bank_hit(1)
9181d8f4dcbSJay  val f3_hit      = f3_perf_info.hit
919cd365d4cSrvcoresjw  val perfEvents = Seq(
9202a3050c2SJay    ("frontendFlush                ", wb_redirect                                ),
921935edac4STang Haojin    ("ifu_req                      ", io.toIbuffer.fire                        ),
922935edac4STang Haojin    ("ifu_miss                     ", io.toIbuffer.fire && !f3_perf_info.hit   ),
923cd365d4cSrvcoresjw    ("ifu_req_cacheline_0          ", f3_req_0                                   ),
924cd365d4cSrvcoresjw    ("ifu_req_cacheline_1          ", f3_req_1                                   ),
925cd365d4cSrvcoresjw    ("ifu_req_cacheline_0_hit      ", f3_hit_1                                   ),
926cd365d4cSrvcoresjw    ("ifu_req_cacheline_1_hit      ", f3_hit_1                                   ),
927935edac4STang Haojin    ("only_0_hit                   ", f3_perf_info.only_0_hit       && io.toIbuffer.fire ),
928935edac4STang Haojin    ("only_0_miss                  ", f3_perf_info.only_0_miss      && io.toIbuffer.fire ),
929935edac4STang Haojin    ("hit_0_hit_1                  ", f3_perf_info.hit_0_hit_1      && io.toIbuffer.fire ),
930935edac4STang Haojin    ("hit_0_miss_1                 ", f3_perf_info.hit_0_miss_1     && io.toIbuffer.fire ),
931935edac4STang Haojin    ("miss_0_hit_1                 ", f3_perf_info.miss_0_hit_1     && io.toIbuffer.fire ),
932935edac4STang Haojin    ("miss_0_miss_1                ", f3_perf_info.miss_0_miss_1    && io.toIbuffer.fire ),
933cd365d4cSrvcoresjw  )
9341ca0e4f3SYinan Xu  generatePerfEvent()
93509c6f1ddSLingrui98
936935edac4STang Haojin  XSPerfAccumulate("ifu_req",   io.toIbuffer.fire )
937935edac4STang Haojin  XSPerfAccumulate("ifu_miss",  io.toIbuffer.fire && !f3_hit )
938f7c29b0aSJinYue  XSPerfAccumulate("ifu_req_cacheline_0", f3_req_0  )
939f7c29b0aSJinYue  XSPerfAccumulate("ifu_req_cacheline_1", f3_req_1  )
940f7c29b0aSJinYue  XSPerfAccumulate("ifu_req_cacheline_0_hit",   f3_hit_0 )
941f7c29b0aSJinYue  XSPerfAccumulate("ifu_req_cacheline_1_hit",   f3_hit_1 )
9422a3050c2SJay  XSPerfAccumulate("frontendFlush",  wb_redirect )
943935edac4STang Haojin  XSPerfAccumulate("only_0_hit",      f3_perf_info.only_0_hit   && io.toIbuffer.fire  )
944935edac4STang Haojin  XSPerfAccumulate("only_0_miss",     f3_perf_info.only_0_miss  && io.toIbuffer.fire  )
945935edac4STang Haojin  XSPerfAccumulate("hit_0_hit_1",     f3_perf_info.hit_0_hit_1  && io.toIbuffer.fire  )
946935edac4STang Haojin  XSPerfAccumulate("hit_0_miss_1",    f3_perf_info.hit_0_miss_1  && io.toIbuffer.fire  )
947935edac4STang Haojin  XSPerfAccumulate("miss_0_hit_1",    f3_perf_info.miss_0_hit_1   && io.toIbuffer.fire )
948935edac4STang Haojin  XSPerfAccumulate("miss_0_miss_1",   f3_perf_info.miss_0_miss_1 && io.toIbuffer.fire )
949935edac4STang Haojin  XSPerfAccumulate("hit_0_except_1",   f3_perf_info.hit_0_except_1 && io.toIbuffer.fire )
950935edac4STang Haojin  XSPerfAccumulate("miss_0_except_1",   f3_perf_info.miss_0_except_1 && io.toIbuffer.fire )
951935edac4STang Haojin  XSPerfAccumulate("except_0",   f3_perf_info.except_0 && io.toIbuffer.fire )
952eb163ef0SHaojin Tang  XSPerfHistogram("ifu2ibuffer_validCnt", PopCount(io.toIbuffer.bits.valid & io.toIbuffer.bits.enqEnable), io.toIbuffer.fire, 0, PredictWidth + 1, 1)
95351532d8bSGuokai Chen
954da3bf434SMaxpicca-Li  val isWriteFetchToIBufferTable = WireInit(Constantin.createRecord("isWriteFetchToIBufferTable" + p(XSCoreParamsKey).HartId.toString))
955da3bf434SMaxpicca-Li  val isWriteIfuWbToFtqTable = WireInit(Constantin.createRecord("isWriteIfuWbToFtqTable" + p(XSCoreParamsKey).HartId.toString))
95651532d8bSGuokai Chen  val fetchToIBufferTable = ChiselDB.createTable("FetchToIBuffer" + p(XSCoreParamsKey).HartId.toString, new FetchToIBufferDB)
95751532d8bSGuokai Chen  val ifuWbToFtqTable = ChiselDB.createTable("IfuWbToFtq" + p(XSCoreParamsKey).HartId.toString, new IfuWbToFtqDB)
95851532d8bSGuokai Chen
95951532d8bSGuokai Chen  val fetchIBufferDumpData = Wire(new FetchToIBufferDB)
96051532d8bSGuokai Chen  fetchIBufferDumpData.start_addr := f3_ftq_req.startAddr
96151532d8bSGuokai Chen  fetchIBufferDumpData.instr_count := PopCount(io.toIbuffer.bits.enqEnable)
962935edac4STang Haojin  fetchIBufferDumpData.exception := (f3_perf_info.except_0 && io.toIbuffer.fire) || (f3_perf_info.hit_0_except_1 && io.toIbuffer.fire) || (f3_perf_info.miss_0_except_1 && io.toIbuffer.fire)
96351532d8bSGuokai Chen  fetchIBufferDumpData.is_cache_hit := f3_hit
96451532d8bSGuokai Chen
96551532d8bSGuokai Chen  val ifuWbToFtqDumpData = Wire(new IfuWbToFtqDB)
96651532d8bSGuokai Chen  ifuWbToFtqDumpData.start_addr := wb_ftq_req.startAddr
96751532d8bSGuokai Chen  ifuWbToFtqDumpData.is_miss_pred := checkFlushWb.bits.misOffset.valid
96851532d8bSGuokai Chen  ifuWbToFtqDumpData.miss_pred_offset := checkFlushWb.bits.misOffset.bits
96951532d8bSGuokai Chen  ifuWbToFtqDumpData.checkJalFault := checkJalFault
97051532d8bSGuokai Chen  ifuWbToFtqDumpData.checkRetFault := checkRetFault
97151532d8bSGuokai Chen  ifuWbToFtqDumpData.checkTargetFault := checkTargetFault
97251532d8bSGuokai Chen  ifuWbToFtqDumpData.checkNotCFIFault := checkNotCFIFault
97351532d8bSGuokai Chen  ifuWbToFtqDumpData.checkInvalidTaken := checkInvalidTaken
97451532d8bSGuokai Chen
97551532d8bSGuokai Chen  fetchToIBufferTable.log(
97651532d8bSGuokai Chen    data = fetchIBufferDumpData,
977da3bf434SMaxpicca-Li    en = isWriteFetchToIBufferTable.orR && io.toIbuffer.fire,
97851532d8bSGuokai Chen    site = "IFU" + p(XSCoreParamsKey).HartId.toString,
97951532d8bSGuokai Chen    clock = clock,
98051532d8bSGuokai Chen    reset = reset
98151532d8bSGuokai Chen  )
98251532d8bSGuokai Chen  ifuWbToFtqTable.log(
98351532d8bSGuokai Chen    data = ifuWbToFtqDumpData,
984da3bf434SMaxpicca-Li    en = isWriteIfuWbToFtqTable.orR && checkFlushWb.valid,
98551532d8bSGuokai Chen    site = "IFU" + p(XSCoreParamsKey).HartId.toString,
98651532d8bSGuokai Chen    clock = clock,
98751532d8bSGuokai Chen    reset = reset
98851532d8bSGuokai Chen  )
98951532d8bSGuokai Chen
99009c6f1ddSLingrui98}
991