xref: /XiangShan/src/main/scala/xiangshan/frontend/FrontendBundle.scala (revision e992912ca7e6936c22e9faaf72c86e67609e7498)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
1609c6f1ddSLingrui98package xiangshan.frontend
1709c6f1ddSLingrui98
1809c6f1ddSLingrui98import chipsalliance.rocketchip.config.Parameters
1909c6f1ddSLingrui98import chisel3._
2009c6f1ddSLingrui98import chisel3.util._
21bf358e08SLingrui98import chisel3.experimental.chiselName
2209c6f1ddSLingrui98import xiangshan._
2309c6f1ddSLingrui98import utils._
24c2ad24ebSLingrui98import scala.math._
2509c6f1ddSLingrui98
26bf358e08SLingrui98@chiselName
2709c6f1ddSLingrui98class FetchRequestBundle(implicit p: Parameters) extends XSBundle {
2809c6f1ddSLingrui98  val startAddr       = UInt(VAddrBits.W)
2909c6f1ddSLingrui98  val fallThruAddr    = UInt(VAddrBits.W)
3009c6f1ddSLingrui98  val fallThruError   = Bool()
3109c6f1ddSLingrui98  val ftqIdx          = new FtqPtr
3209c6f1ddSLingrui98  val ftqOffset       = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
3309c6f1ddSLingrui98  val target          = UInt(VAddrBits.W)
3409c6f1ddSLingrui98  val oversize        = Bool()
3509c6f1ddSLingrui98
3609c6f1ddSLingrui98  def fallThroughError() = {
3709c6f1ddSLingrui98    def carryPos = instOffsetBits+log2Ceil(PredictWidth)+1
3809c6f1ddSLingrui98    def getLower(pc: UInt) = pc(instOffsetBits+log2Ceil(PredictWidth), instOffsetBits)
3909c6f1ddSLingrui98    val carry = (startAddr(carryPos) =/= fallThruAddr(carryPos)).asUInt
4009c6f1ddSLingrui98    val startLower        = Cat(0.U(1.W), getLower(startAddr))
4109c6f1ddSLingrui98    val endLowerwithCarry = Cat(carry,    getLower(fallThruAddr))
4209c6f1ddSLingrui98    require(startLower.getWidth == log2Ceil(PredictWidth)+2)
4309c6f1ddSLingrui98    require(endLowerwithCarry.getWidth == log2Ceil(PredictWidth)+2)
4409c6f1ddSLingrui98    startLower >= endLowerwithCarry || (endLowerwithCarry - startLower) > (PredictWidth+1).U
4509c6f1ddSLingrui98  }
4609c6f1ddSLingrui98  def fromFtqPcBundle(b: Ftq_RF_Components) = {
4709c6f1ddSLingrui98    this.startAddr := b.startAddr
4809c6f1ddSLingrui98    this.fallThruAddr := b.getFallThrough()
4909c6f1ddSLingrui98    this.oversize := b.oversize
5009c6f1ddSLingrui98    this
5109c6f1ddSLingrui98  }
5209c6f1ddSLingrui98  def fromBpuResp(resp: BranchPredictionBundle) = {
5309c6f1ddSLingrui98    // only used to bypass, so some fields remains unchanged
5409c6f1ddSLingrui98    this.startAddr := resp.pc
5509c6f1ddSLingrui98    this.target := resp.target
5609c6f1ddSLingrui98    this.ftqOffset := resp.genCfiIndex
5709c6f1ddSLingrui98    this.fallThruAddr := resp.fallThroughAddr
5809c6f1ddSLingrui98    this.oversize := resp.ftb_entry.oversize
5909c6f1ddSLingrui98    this
6009c6f1ddSLingrui98  }
6109c6f1ddSLingrui98  override def toPrintable: Printable = {
6209c6f1ddSLingrui98    p"[start] ${Hexadecimal(startAddr)} [pft] ${Hexadecimal(fallThruAddr)}" +
6309c6f1ddSLingrui98      p"[tgt] ${Hexadecimal(target)} [ftqIdx] $ftqIdx [jmp] v:${ftqOffset.valid}" +
6409c6f1ddSLingrui98      p" offset: ${ftqOffset.bits}\n"
6509c6f1ddSLingrui98  }
6609c6f1ddSLingrui98}
6709c6f1ddSLingrui98
6809c6f1ddSLingrui98class PredecodeWritebackBundle(implicit p:Parameters) extends XSBundle {
6909c6f1ddSLingrui98  val pc           = Vec(PredictWidth, UInt(VAddrBits.W))
7009c6f1ddSLingrui98  val pd           = Vec(PredictWidth, new PreDecodeInfo) // TODO: redefine Predecode
7109c6f1ddSLingrui98  val ftqIdx       = new FtqPtr
7209c6f1ddSLingrui98  val ftqOffset    = UInt(log2Ceil(PredictWidth).W)
7309c6f1ddSLingrui98  val misOffset    = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
7409c6f1ddSLingrui98  val cfiOffset    = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
7509c6f1ddSLingrui98  val target       = UInt(VAddrBits.W)
7609c6f1ddSLingrui98  val jalTarget    = UInt(VAddrBits.W)
7709c6f1ddSLingrui98  val instrRange   = Vec(PredictWidth, Bool())
7809c6f1ddSLingrui98}
7909c6f1ddSLingrui98
8009c6f1ddSLingrui98class Exception(implicit p: Parameters) extends XSBundle {
8109c6f1ddSLingrui98
8209c6f1ddSLingrui98}
8309c6f1ddSLingrui98
8409c6f1ddSLingrui98class FetchToIBuffer(implicit p: Parameters) extends XSBundle {
8509c6f1ddSLingrui98  val instrs    = Vec(PredictWidth, UInt(32.W))
8609c6f1ddSLingrui98  val valid     = UInt(PredictWidth.W)
8709c6f1ddSLingrui98  val pd        = Vec(PredictWidth, new PreDecodeInfo)
8809c6f1ddSLingrui98  val pc        = Vec(PredictWidth, UInt(VAddrBits.W))
8909c6f1ddSLingrui98  val foldpc    = Vec(PredictWidth, UInt(MemPredPCWidth.W))
9009c6f1ddSLingrui98  //val exception = new Exception
9109c6f1ddSLingrui98  val ftqPtr       = new FtqPtr
9209c6f1ddSLingrui98  val ftqOffset    = Vec(PredictWidth, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W)))
9309c6f1ddSLingrui98  val ipf          = Vec(PredictWidth, Bool())
9409c6f1ddSLingrui98  val acf          = Vec(PredictWidth, Bool())
9509c6f1ddSLingrui98  val crossPageIPFFix = Vec(PredictWidth, Bool())
9609c6f1ddSLingrui98}
9709c6f1ddSLingrui98
98c2ad24ebSLingrui98// class BitWiseUInt(val width: Int, val init: UInt) extends Module {
99c2ad24ebSLingrui98//   val io = IO(new Bundle {
100c2ad24ebSLingrui98//     val set
101c2ad24ebSLingrui98//   })
102c2ad24ebSLingrui98// }
10309c6f1ddSLingrui98// Move from BPU
104c2ad24ebSLingrui98abstract class GlobalHistory(implicit p: Parameters) extends XSBundle with HasBPUConst {
105c2ad24ebSLingrui98  def update(br_valids: Vec[Bool], real_taken_mask: Vec[Bool]): GlobalHistory
106c2ad24ebSLingrui98}
107c2ad24ebSLingrui98
108c2ad24ebSLingrui98class ShiftingGlobalHistory(implicit p: Parameters) extends GlobalHistory {
10909c6f1ddSLingrui98  val predHist = UInt(HistoryLength.W)
11009c6f1ddSLingrui98
111c2ad24ebSLingrui98  def update(shift: UInt, taken: Bool, hist: UInt = this.predHist): ShiftingGlobalHistory = {
112c2ad24ebSLingrui98    val g = Wire(new ShiftingGlobalHistory)
11309c6f1ddSLingrui98    g.predHist := (hist << shift) | taken
11409c6f1ddSLingrui98    g
11509c6f1ddSLingrui98  }
11609c6f1ddSLingrui98
117c2ad24ebSLingrui98  def update(br_valids: Vec[Bool], real_taken_mask: Vec[Bool]): ShiftingGlobalHistory = {
118eeb5ff92SLingrui98    require(br_valids.length == numBr)
119eeb5ff92SLingrui98    require(real_taken_mask.length == numBr)
120eeb5ff92SLingrui98    val last_valid_idx = PriorityMux(
121eeb5ff92SLingrui98      br_valids.reverse :+ true.B,
122eeb5ff92SLingrui98      (numBr to 0 by -1).map(_.U(log2Ceil(numBr+1).W))
123eeb5ff92SLingrui98    )
124eeb5ff92SLingrui98    val first_taken_idx = PriorityEncoder(false.B +: real_taken_mask)
125eeb5ff92SLingrui98    val smaller = Mux(last_valid_idx < first_taken_idx,
126eeb5ff92SLingrui98      last_valid_idx,
127eeb5ff92SLingrui98      first_taken_idx
128eeb5ff92SLingrui98    )
129eeb5ff92SLingrui98    val shift = smaller
130eeb5ff92SLingrui98    val taken = real_taken_mask.reduce(_||_)
131eeb5ff92SLingrui98    update(shift, taken, this.predHist)
132eeb5ff92SLingrui98  }
133eeb5ff92SLingrui98
134c2ad24ebSLingrui98  // static read
135c2ad24ebSLingrui98  def read(n: Int): Bool = predHist.asBools()(n)
136c2ad24ebSLingrui98
137c2ad24ebSLingrui98  final def === (that: ShiftingGlobalHistory): Bool = {
13809c6f1ddSLingrui98    predHist === that.predHist
13909c6f1ddSLingrui98  }
14009c6f1ddSLingrui98
141c2ad24ebSLingrui98  final def =/= (that: ShiftingGlobalHistory): Bool = !(this === that)
142c2ad24ebSLingrui98}
14309c6f1ddSLingrui98
144c2ad24ebSLingrui98// circular global history pointer
145c2ad24ebSLingrui98class CGHPtr(implicit p: Parameters) extends CircularQueuePtr[CGHPtr](
146c2ad24ebSLingrui98  p => p(XSCoreParamsKey).HistoryLength
147c2ad24ebSLingrui98){
148c2ad24ebSLingrui98  override def cloneType = (new CGHPtr).asInstanceOf[this.type]
149c2ad24ebSLingrui98}
150c2ad24ebSLingrui98class CircularGlobalHistory(implicit p: Parameters) extends GlobalHistory {
151c2ad24ebSLingrui98  val buffer = Vec(HistoryLength, Bool())
152c2ad24ebSLingrui98  type HistPtr = UInt
153c2ad24ebSLingrui98  def update(br_valids: Vec[Bool], real_taken_mask: Vec[Bool]): CircularGlobalHistory = {
154c2ad24ebSLingrui98    this
155c2ad24ebSLingrui98  }
156c2ad24ebSLingrui98}
157c2ad24ebSLingrui98
158dd6c0695SLingrui98class FoldedHistory(val len: Int, val compLen: Int, val max_update_num: Int)(implicit p: Parameters)
159c2ad24ebSLingrui98  extends XSBundle with HasBPUConst {
160dd6c0695SLingrui98  require(compLen >= 1)
161c2ad24ebSLingrui98  require(len > 0)
162c2ad24ebSLingrui98  // require(folded_len <= len)
163dd6c0695SLingrui98  require(compLen >= max_update_num)
164dd6c0695SLingrui98  val folded_hist = UInt(compLen.W)
165dd6c0695SLingrui98
166dd6c0695SLingrui98  def info = (len, compLen)
167c2ad24ebSLingrui98  def oldest_bit_to_get_from_ghr = (0 until max_update_num).map(len - _ - 1)
168c2ad24ebSLingrui98  def oldest_bit_pos_in_folded = oldest_bit_to_get_from_ghr map (_ % compLen)
169c2ad24ebSLingrui98  def oldest_bit_wrap_around = oldest_bit_to_get_from_ghr map (_ / compLen > 0)
170c2ad24ebSLingrui98  def oldest_bit_start = oldest_bit_pos_in_folded.head
171c2ad24ebSLingrui98
172dd6c0695SLingrui98  def get_oldest_bits_from_ghr(ghr: Vec[Bool], histPtr: CGHPtr) = {
173c2ad24ebSLingrui98    // TODO: wrap inc for histPtr value
174dd6c0695SLingrui98    oldest_bit_to_get_from_ghr.map(i => ghr((histPtr + (i+1).U).value))
175c2ad24ebSLingrui98  }
176c2ad24ebSLingrui98
177c2ad24ebSLingrui98  def circular_shift_left(max_shift_value: Int)(src: UInt, shamt: UInt) = {
178c2ad24ebSLingrui98    val srcLen = src.getWidth
179c2ad24ebSLingrui98    require(max_shift_value <= srcLen)
180c2ad24ebSLingrui98    val src_doubled = Cat(src, src)
181c2ad24ebSLingrui98    val shifted_vec = (0 to max_shift_value).map(i => src_doubled(srcLen*2-1-i, srcLen-i))
182c2ad24ebSLingrui98    val sel_vec = (0 to max_shift_value).map(_.U === shamt)
183c2ad24ebSLingrui98    Mux1H(sel_vec, shifted_vec)
184c2ad24ebSLingrui98  }
185c2ad24ebSLingrui98
186c2ad24ebSLingrui98
187dd6c0695SLingrui98  def update(ghr: Vec[Bool], histPtr: CGHPtr, num: UInt, taken: Bool): FoldedHistory = {
188c2ad24ebSLingrui98    // do xors for several bitsets at specified bits
189c2ad24ebSLingrui98    def bitsets_xor(len: Int, bitsets: Seq[Seq[Tuple2[Int, Bool]]]) = {
190c2ad24ebSLingrui98      val res = Wire(Vec(len, Bool()))
191c2ad24ebSLingrui98      // println(f"num bitsets: ${bitsets.length}")
192c2ad24ebSLingrui98      // println(f"bitsets $bitsets")
193c2ad24ebSLingrui98      val resArr = Array.fill(len)(List[Bool]())
194c2ad24ebSLingrui98      for (bs <- bitsets) {
195c2ad24ebSLingrui98        for ((n, b) <- bs) {
196c2ad24ebSLingrui98          resArr(n) = b :: resArr(n)
197c2ad24ebSLingrui98        }
198c2ad24ebSLingrui98      }
199c2ad24ebSLingrui98      // println(f"${resArr.mkString}")
200c2ad24ebSLingrui98      // println(f"histLen: ${this.len}, foldedLen: $folded_len")
201c2ad24ebSLingrui98      for (i <- 0 until len) {
202c2ad24ebSLingrui98        // println(f"bit[$i], ${resArr(i).mkString}")
203c2ad24ebSLingrui98        if (resArr(i).length > 2) {
204c2ad24ebSLingrui98          println(f"[warning] update logic of foldest history has two or more levels of xor gates! " +
205dd6c0695SLingrui98            f"histlen:${this.len}, compLen:$compLen")
206c2ad24ebSLingrui98        }
207c2ad24ebSLingrui98        if (resArr(i).length == 0) {
208dd6c0695SLingrui98          println(f"[error] bits $i is not assigned in folded hist update logic! histlen:${this.len}, compLen:$compLen")
209c2ad24ebSLingrui98        }
210c2ad24ebSLingrui98        res(i) := resArr(i).foldLeft(false.B)(_^_)
211c2ad24ebSLingrui98      }
212c2ad24ebSLingrui98      res.asUInt
213c2ad24ebSLingrui98    }
214dd6c0695SLingrui98    val oldest_bits = get_oldest_bits_from_ghr(ghr, histPtr)
215c2ad24ebSLingrui98
216c2ad24ebSLingrui98    // mask off bits that do not update
217c2ad24ebSLingrui98    val oldest_bits_masked = oldest_bits.zipWithIndex.map{
218c2ad24ebSLingrui98      case (ob, i) => ob && (i.U < num)
219c2ad24ebSLingrui98    }
220c2ad24ebSLingrui98    // if a bit does not wrap around, it should not be xored when it exits
221c2ad24ebSLingrui98    val oldest_bits_set = (0 until max_update_num).filter(oldest_bit_wrap_around).map(i => (oldest_bit_pos_in_folded(i), oldest_bits_masked(i)))
222c2ad24ebSLingrui98
223c2ad24ebSLingrui98    // println(f"old bits pos ${oldest_bits_set.map(_._1)}")
224c2ad24ebSLingrui98
225c2ad24ebSLingrui98    // only the last bit could be 1, as we have at most one taken branch at a time
226c2ad24ebSLingrui98    val newest_bits_masked = VecInit((0 until max_update_num).map(i => taken && (i+1).U === num)).asUInt
227c2ad24ebSLingrui98    // if a bit does not wrap around, newest bits should not be xored onto it either
228*e992912cSLingrui98    val newest_bits_set = (0 until max_update_num).map(i => (compLen-1-i, newest_bits_masked(i)))
229c2ad24ebSLingrui98
230c2ad24ebSLingrui98    // println(f"new bits set ${newest_bits_set.map(_._1)}")
231c2ad24ebSLingrui98    //
232c2ad24ebSLingrui98    val original_bits_masked = VecInit(folded_hist.asBools.zipWithIndex.map{
233c2ad24ebSLingrui98      case (fb, i) => fb && !(num >= (len-i).U)
234c2ad24ebSLingrui98    })
235c2ad24ebSLingrui98    val original_bits_set = (0 until compLen).map(i => (i, original_bits_masked(i)))
236c2ad24ebSLingrui98
237c2ad24ebSLingrui98
238c2ad24ebSLingrui98    // histLen too short to wrap around
239c2ad24ebSLingrui98    val new_folded_hist =
240dd6c0695SLingrui98      if (len <= compLen) {
241dd6c0695SLingrui98        ((folded_hist << num) | taken)(compLen-1,0)
242c2ad24ebSLingrui98        // circular_shift_left(max_update_num)(Cat(Reverse(newest_bits_masked), folded_hist(compLen-max_update_num-1,0)), num)
243c2ad24ebSLingrui98      } else {
244c2ad24ebSLingrui98        // do xor then shift
245c2ad24ebSLingrui98        val xored = bitsets_xor(compLen, Seq(original_bits_set, oldest_bits_set, newest_bits_set))
246c2ad24ebSLingrui98        circular_shift_left(max_update_num)(xored, num)
247c2ad24ebSLingrui98      }
248c2ad24ebSLingrui98    val fh = WireInit(this)
249c2ad24ebSLingrui98    fh.folded_hist := new_folded_hist
250c2ad24ebSLingrui98    fh
251c2ad24ebSLingrui98  }
252c2ad24ebSLingrui98
253dd6c0695SLingrui98  // def update(ghr: Vec[Bool], histPtr: CGHPtr, valids: Vec[Bool], takens: Vec[Bool]): FoldedHistory = {
254dd6c0695SLingrui98  //   val fh = WireInit(this)
255dd6c0695SLingrui98  //   require(valids.length == max_update_num)
256dd6c0695SLingrui98  //   require(takens.length == max_update_num)
257dd6c0695SLingrui98  //   val last_valid_idx = PriorityMux(
258dd6c0695SLingrui98  //     valids.reverse :+ true.B,
259dd6c0695SLingrui98  //     (max_update_num to 0 by -1).map(_.U(log2Ceil(max_update_num+1).W))
260dd6c0695SLingrui98  //     )
261dd6c0695SLingrui98  //   val first_taken_idx = PriorityEncoder(false.B +: takens)
262dd6c0695SLingrui98  //   val smaller = Mux(last_valid_idx < first_taken_idx,
263dd6c0695SLingrui98  //     last_valid_idx,
264dd6c0695SLingrui98  //     first_taken_idx
265dd6c0695SLingrui98  //   )
266dd6c0695SLingrui98  //   // update folded_hist
267dd6c0695SLingrui98  //   fh.update(ghr, histPtr, smaller, takens.reduce(_||_))
268dd6c0695SLingrui98  // }
269c2ad24ebSLingrui98  // println(f"folded hist original length: ${len}, folded len: ${folded_len} " +
270c2ad24ebSLingrui98  //   f"oldest bits' pos in folded: ${oldest_bit_pos_in_folded}")
271c2ad24ebSLingrui98
272c2ad24ebSLingrui98
27309c6f1ddSLingrui98}
27409c6f1ddSLingrui98
27509c6f1ddSLingrui98class TableAddr(val idxBits: Int, val banks: Int)(implicit p: Parameters) extends XSBundle{
27609c6f1ddSLingrui98  def tagBits = VAddrBits - idxBits - instOffsetBits
27709c6f1ddSLingrui98
27809c6f1ddSLingrui98  val tag = UInt(tagBits.W)
27909c6f1ddSLingrui98  val idx = UInt(idxBits.W)
28009c6f1ddSLingrui98  val offset = UInt(instOffsetBits.W)
28109c6f1ddSLingrui98
28209c6f1ddSLingrui98  def fromUInt(x: UInt) = x.asTypeOf(UInt(VAddrBits.W)).asTypeOf(this)
28309c6f1ddSLingrui98  def getTag(x: UInt) = fromUInt(x).tag
28409c6f1ddSLingrui98  def getIdx(x: UInt) = fromUInt(x).idx
28509c6f1ddSLingrui98  def getBank(x: UInt) = if (banks > 1) getIdx(x)(log2Up(banks) - 1, 0) else 0.U
28609c6f1ddSLingrui98  def getBankIdx(x: UInt) = if (banks > 1) getIdx(x)(idxBits - 1, log2Up(banks)) else getIdx(x)
28709c6f1ddSLingrui98}
288eeb5ff92SLingrui98
289eeb5ff92SLingrui98@chiselName
29009c6f1ddSLingrui98class BranchPrediction(implicit p: Parameters) extends XSBundle with HasBPUConst {
291eeb5ff92SLingrui98  val br_taken_mask = Vec(numBr, Bool())
29209c6f1ddSLingrui98
293eeb5ff92SLingrui98  val slot_valids = Vec(totalSlot, Bool())
29409c6f1ddSLingrui98
295eeb5ff92SLingrui98  val targets = Vec(totalSlot, UInt(VAddrBits.W))
29609c6f1ddSLingrui98
29709c6f1ddSLingrui98  val is_jal = Bool()
29809c6f1ddSLingrui98  val is_jalr = Bool()
29909c6f1ddSLingrui98  val is_call = Bool()
30009c6f1ddSLingrui98  val is_ret = Bool()
301eeb5ff92SLingrui98  val is_br_sharing = Bool()
30209c6f1ddSLingrui98
30309c6f1ddSLingrui98  // val call_is_rvc = Bool()
30409c6f1ddSLingrui98  val hit = Bool()
30509c6f1ddSLingrui98
306eeb5ff92SLingrui98  def br_slot_valids = slot_valids.init
307eeb5ff92SLingrui98  def tail_slot_valid = slot_valids.last
308eeb5ff92SLingrui98
309eeb5ff92SLingrui98  def br_valids = {
310eeb5ff92SLingrui98    VecInit(
311eeb5ff92SLingrui98      if (shareTailSlot)
312eeb5ff92SLingrui98        br_slot_valids :+ (tail_slot_valid && is_br_sharing)
313eeb5ff92SLingrui98      else
314eeb5ff92SLingrui98        br_slot_valids
315eeb5ff92SLingrui98    )
316eeb5ff92SLingrui98  }
317eeb5ff92SLingrui98
318eeb5ff92SLingrui98  def taken_mask_on_slot = {
319eeb5ff92SLingrui98    VecInit(
320eeb5ff92SLingrui98      if (shareTailSlot)
321eeb5ff92SLingrui98        (br_slot_valids zip br_taken_mask.init).map{ case (t, v) => t && v } :+ (
322eeb5ff92SLingrui98          (br_taken_mask.last && tail_slot_valid && is_br_sharing) ||
323eeb5ff92SLingrui98          tail_slot_valid && !is_br_sharing
324eeb5ff92SLingrui98        )
325eeb5ff92SLingrui98      else
326eeb5ff92SLingrui98        (br_slot_valids zip br_taken_mask).map{ case (v, t) => v && t } :+
327eeb5ff92SLingrui98        tail_slot_valid
328eeb5ff92SLingrui98    )
329eeb5ff92SLingrui98  }
330eeb5ff92SLingrui98
331eeb5ff92SLingrui98  def taken = br_taken_mask.reduce(_||_) || slot_valids.last // || (is_jal || is_jalr)
33209c6f1ddSLingrui98
33309c6f1ddSLingrui98  def fromFtbEntry(entry: FTBEntry, pc: UInt) = {
334eeb5ff92SLingrui98    slot_valids := entry.brSlots.map(_.valid) :+ entry.tailSlot.valid
335eeb5ff92SLingrui98    targets := entry.getTargetVec(pc)
336eeb5ff92SLingrui98    is_jal := entry.tailSlot.valid && entry.isJal
337eeb5ff92SLingrui98    is_jalr := entry.tailSlot.valid && entry.isJalr
338eeb5ff92SLingrui98    is_call := entry.tailSlot.valid && entry.isCall
339eeb5ff92SLingrui98    is_ret := entry.tailSlot.valid && entry.isRet
340eeb5ff92SLingrui98    is_br_sharing := entry.tailSlot.valid && entry.tailSlot.sharing
34109c6f1ddSLingrui98  }
34209c6f1ddSLingrui98  // override def toPrintable: Printable = {
34309c6f1ddSLingrui98  //   p"-----------BranchPrediction----------- " +
34409c6f1ddSLingrui98  //     p"[taken_mask] ${Binary(taken_mask.asUInt)} " +
34509c6f1ddSLingrui98  //     p"[is_br] ${Binary(is_br.asUInt)}, [is_jal] ${Binary(is_jal.asUInt)} " +
34609c6f1ddSLingrui98  //     p"[is_jalr] ${Binary(is_jalr.asUInt)}, [is_call] ${Binary(is_call.asUInt)}, [is_ret] ${Binary(is_ret.asUInt)} " +
34709c6f1ddSLingrui98  //     p"[target] ${Hexadecimal(target)}}, [hit] $hit "
34809c6f1ddSLingrui98  // }
34909c6f1ddSLingrui98
35009c6f1ddSLingrui98  def display(cond: Bool): Unit = {
351eeb5ff92SLingrui98    XSDebug(cond, p"[taken_mask] ${Binary(br_taken_mask.asUInt)} [hit] $hit\n")
35209c6f1ddSLingrui98  }
35309c6f1ddSLingrui98}
35409c6f1ddSLingrui98
355bf358e08SLingrui98@chiselName
35609c6f1ddSLingrui98class BranchPredictionBundle(implicit p: Parameters) extends XSBundle with HasBPUConst with BPUUtils{
35709c6f1ddSLingrui98  val pc = UInt(VAddrBits.W)
35809c6f1ddSLingrui98
35909c6f1ddSLingrui98  val valid = Bool()
36009c6f1ddSLingrui98
36109c6f1ddSLingrui98  val hasRedirect = Bool()
36209c6f1ddSLingrui98  val ftq_idx = new FtqPtr
36309c6f1ddSLingrui98  // val hit = Bool()
36409c6f1ddSLingrui98  val preds = new BranchPrediction
36509c6f1ddSLingrui98
366dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
367c2ad24ebSLingrui98  val histPtr = new CGHPtr
36809c6f1ddSLingrui98  val phist = UInt(PathHistoryLength.W)
36909c6f1ddSLingrui98  val rasSp = UInt(log2Ceil(RasSize).W)
37009c6f1ddSLingrui98  val rasTop = new RASEntry
37109c6f1ddSLingrui98  val specCnt = Vec(numBr, UInt(10.W))
37209c6f1ddSLingrui98  // val meta = UInt(MaxMetaLength.W)
37309c6f1ddSLingrui98
37409c6f1ddSLingrui98  val ftb_entry = new FTBEntry() // TODO: Send this entry to ftq
37509c6f1ddSLingrui98
376eeb5ff92SLingrui98  def real_slot_taken_mask(): Vec[Bool] = {
377eeb5ff92SLingrui98    VecInit(preds.taken_mask_on_slot.map(_ && preds.hit))
378eeb5ff92SLingrui98  }
379eeb5ff92SLingrui98
380eeb5ff92SLingrui98  // len numBr
381bf358e08SLingrui98  def real_br_taken_mask(): Vec[Bool] = {
382eeb5ff92SLingrui98    if (shareTailSlot)
383eeb5ff92SLingrui98      VecInit(
384eeb5ff92SLingrui98        preds.taken_mask_on_slot.map(_ && preds.hit).init :+
385eeb5ff92SLingrui98        (preds.br_taken_mask.last && preds.tail_slot_valid && preds.is_br_sharing && preds.hit)
386eeb5ff92SLingrui98      )
387eeb5ff92SLingrui98    else
388eeb5ff92SLingrui98      VecInit(real_slot_taken_mask().init)
38909c6f1ddSLingrui98  }
39009c6f1ddSLingrui98
391c2ad24ebSLingrui98  def br_count(): UInt = {
392c2ad24ebSLingrui98    val last_valid_idx = PriorityMux(
393c2ad24ebSLingrui98      preds.br_valids.reverse :+ true.B,
394c2ad24ebSLingrui98      (numBr to 0 by -1).map(_.U(log2Ceil(numBr+1).W))
395c2ad24ebSLingrui98      )
396c2ad24ebSLingrui98    val first_taken_idx = PriorityEncoder(false.B +: real_br_taken_mask)
397c2ad24ebSLingrui98    Mux(last_valid_idx < first_taken_idx,
398c2ad24ebSLingrui98      last_valid_idx,
399c2ad24ebSLingrui98      first_taken_idx
400c2ad24ebSLingrui98    )
401c2ad24ebSLingrui98  }
402c2ad24ebSLingrui98
403eeb5ff92SLingrui98  def hit_taken_on_jmp =
404eeb5ff92SLingrui98    !real_slot_taken_mask().init.reduce(_||_) &&
405eeb5ff92SLingrui98    real_slot_taken_mask().last && !preds.is_br_sharing
406bf358e08SLingrui98  def hit_taken_on_call = hit_taken_on_jmp && preds.is_call
407bf358e08SLingrui98  def hit_taken_on_ret  = hit_taken_on_jmp && preds.is_ret
408bf358e08SLingrui98  def hit_taken_on_jalr = hit_taken_on_jmp && preds.is_jalr
40909c6f1ddSLingrui98
41009c6f1ddSLingrui98  def fallThroughAddr = getFallThroughAddr(pc, ftb_entry.carry, ftb_entry.pftAddr)
411bf358e08SLingrui98
41209c6f1ddSLingrui98  def target(): UInt = {
413eeb5ff92SLingrui98    val targetVec = preds.targets :+ fallThroughAddr :+ (pc + (FetchWidth*4).U)
414eeb5ff92SLingrui98    val selVec = real_slot_taken_mask() :+ (preds.hit && !real_slot_taken_mask().asUInt.orR) :+ true.B
415bf358e08SLingrui98    PriorityMux(selVec zip targetVec)
41609c6f1ddSLingrui98  }
41709c6f1ddSLingrui98  def genCfiIndex = {
41809c6f1ddSLingrui98    val cfiIndex = Wire(ValidUndirectioned(UInt(log2Ceil(PredictWidth).W)))
419eeb5ff92SLingrui98    cfiIndex.valid := real_slot_taken_mask().asUInt.orR
42009c6f1ddSLingrui98    // when no takens, set cfiIndex to PredictWidth-1
42109c6f1ddSLingrui98    cfiIndex.bits :=
422eeb5ff92SLingrui98      ParallelPriorityMux(real_slot_taken_mask(), ftb_entry.getOffsetVec) |
423eeb5ff92SLingrui98      Fill(log2Ceil(PredictWidth), (!real_slot_taken_mask().asUInt.orR).asUInt)
42409c6f1ddSLingrui98    cfiIndex
42509c6f1ddSLingrui98  }
42609c6f1ddSLingrui98
42709c6f1ddSLingrui98  def display(cond: Bool): Unit = {
42809c6f1ddSLingrui98    XSDebug(cond, p"[pc] ${Hexadecimal(pc)}\n")
429dd6c0695SLingrui98    folded_hist.display(cond)
43009c6f1ddSLingrui98    preds.display(cond)
43109c6f1ddSLingrui98    ftb_entry.display(cond)
43209c6f1ddSLingrui98  }
43309c6f1ddSLingrui98}
43409c6f1ddSLingrui98
435bf358e08SLingrui98@chiselName
43609c6f1ddSLingrui98class BranchPredictionResp(implicit p: Parameters) extends XSBundle with HasBPUConst {
43709c6f1ddSLingrui98  // val valids = Vec(3, Bool())
43809c6f1ddSLingrui98  val s1 = new BranchPredictionBundle()
43909c6f1ddSLingrui98  val s2 = new BranchPredictionBundle()
44009c6f1ddSLingrui98  val s3 = new BranchPredictionBundle()
44109c6f1ddSLingrui98
44209c6f1ddSLingrui98  def selectedResp =
44309c6f1ddSLingrui98    PriorityMux(Seq(
44409c6f1ddSLingrui98      ((s3.valid && s3.hasRedirect) -> s3),
44509c6f1ddSLingrui98      ((s2.valid && s2.hasRedirect) -> s2),
44609c6f1ddSLingrui98      (s1.valid -> s1)
44709c6f1ddSLingrui98    ))
44809c6f1ddSLingrui98  def selectedRespIdx =
44909c6f1ddSLingrui98    PriorityMux(Seq(
45009c6f1ddSLingrui98      ((s3.valid && s3.hasRedirect) -> BP_S3),
45109c6f1ddSLingrui98      ((s2.valid && s2.hasRedirect) -> BP_S2),
45209c6f1ddSLingrui98      (s1.valid -> BP_S1)
45309c6f1ddSLingrui98    ))
45409c6f1ddSLingrui98  def lastStage = s3
45509c6f1ddSLingrui98}
45609c6f1ddSLingrui98
45709c6f1ddSLingrui98class BpuToFtqBundle(implicit p: Parameters) extends BranchPredictionResp with HasBPUConst {
45809c6f1ddSLingrui98  val meta = UInt(MaxMetaLength.W)
45909c6f1ddSLingrui98}
46009c6f1ddSLingrui98
46109c6f1ddSLingrui98object BpuToFtqBundle {
46209c6f1ddSLingrui98  def apply(resp: BranchPredictionResp)(implicit p: Parameters): BpuToFtqBundle = {
46309c6f1ddSLingrui98    val e = Wire(new BpuToFtqBundle())
46409c6f1ddSLingrui98    e.s1 := resp.s1
46509c6f1ddSLingrui98    e.s2 := resp.s2
46609c6f1ddSLingrui98    e.s3 := resp.s3
46709c6f1ddSLingrui98
46809c6f1ddSLingrui98    e.meta := DontCare
46909c6f1ddSLingrui98    e
47009c6f1ddSLingrui98  }
47109c6f1ddSLingrui98}
47209c6f1ddSLingrui98
47309c6f1ddSLingrui98class BranchPredictionUpdate(implicit p: Parameters) extends BranchPredictionBundle with HasBPUConst {
47409c6f1ddSLingrui98  val mispred_mask = Vec(numBr+1, Bool())
47509c6f1ddSLingrui98  val false_hit = Bool()
47609c6f1ddSLingrui98  val new_br_insert_pos = Vec(numBr, Bool())
47709c6f1ddSLingrui98  val old_entry = Bool()
47809c6f1ddSLingrui98  val meta = UInt(MaxMetaLength.W)
479abdbe4b7SLingrui98  val full_target = UInt(VAddrBits.W)
48009c6f1ddSLingrui98
48109c6f1ddSLingrui98  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
482dd6c0695SLingrui98    folded_hist := entry.folded_hist
483c2ad24ebSLingrui98    histPtr := entry.histPtr
48409c6f1ddSLingrui98    phist := entry.phist
48509c6f1ddSLingrui98    rasSp := entry.rasSp
48609c6f1ddSLingrui98    rasTop := entry.rasEntry
48709c6f1ddSLingrui98    specCnt := entry.specCnt
48809c6f1ddSLingrui98    this
48909c6f1ddSLingrui98  }
49009c6f1ddSLingrui98
491c2ad24ebSLingrui98  override def display(cond: Bool) = {
49209c6f1ddSLingrui98    XSDebug(cond, p"-----------BranchPredictionUpdate-----------\n")
49309c6f1ddSLingrui98    XSDebug(cond, p"[mispred_mask] ${Binary(mispred_mask.asUInt)} [false_hit] $false_hit\n")
49409c6f1ddSLingrui98    XSDebug(cond, p"[new_br_insert_pos] ${Binary(new_br_insert_pos.asUInt)}\n")
49509c6f1ddSLingrui98    super.display(cond)
49609c6f1ddSLingrui98    XSDebug(cond, p"--------------------------------------------\n")
49709c6f1ddSLingrui98  }
49809c6f1ddSLingrui98}
49909c6f1ddSLingrui98
50009c6f1ddSLingrui98class BranchPredictionRedirect(implicit p: Parameters) extends Redirect with HasBPUConst {
50109c6f1ddSLingrui98  // override def toPrintable: Printable = {
50209c6f1ddSLingrui98  //   p"-----------BranchPredictionRedirect----------- " +
50309c6f1ddSLingrui98  //     p"-----------cfiUpdate----------- " +
50409c6f1ddSLingrui98  //     p"[pc] ${Hexadecimal(cfiUpdate.pc)} " +
50509c6f1ddSLingrui98  //     p"[predTaken] ${cfiUpdate.predTaken}, [taken] ${cfiUpdate.taken}, [isMisPred] ${cfiUpdate.isMisPred} " +
50609c6f1ddSLingrui98  //     p"[target] ${Hexadecimal(cfiUpdate.target)} " +
50709c6f1ddSLingrui98  //     p"------------------------------- " +
5089aca92b9SYinan Xu  //     p"[robPtr] f=${robIdx.flag} v=${robIdx.value} " +
50909c6f1ddSLingrui98  //     p"[ftqPtr] f=${ftqIdx.flag} v=${ftqIdx.value} " +
51009c6f1ddSLingrui98  //     p"[ftqOffset] ${ftqOffset} " +
51109c6f1ddSLingrui98  //     p"[level] ${level}, [interrupt] ${interrupt} " +
51209c6f1ddSLingrui98  //     p"[stFtqIdx] f=${stFtqIdx.flag} v=${stFtqIdx.value} " +
51309c6f1ddSLingrui98  //     p"[stFtqOffset] ${stFtqOffset} " +
51409c6f1ddSLingrui98  //     p"\n"
51509c6f1ddSLingrui98
51609c6f1ddSLingrui98  // }
51709c6f1ddSLingrui98
51809c6f1ddSLingrui98  def display(cond: Bool): Unit = {
51909c6f1ddSLingrui98    XSDebug(cond, p"-----------BranchPredictionRedirect----------- \n")
52009c6f1ddSLingrui98    XSDebug(cond, p"-----------cfiUpdate----------- \n")
52109c6f1ddSLingrui98    XSDebug(cond, p"[pc] ${Hexadecimal(cfiUpdate.pc)}\n")
522c2ad24ebSLingrui98    // XSDebug(cond, p"[hist] ${Binary(cfiUpdate.hist.predHist)}\n")
52309c6f1ddSLingrui98    XSDebug(cond, p"[br_hit] ${cfiUpdate.br_hit} [isMisPred] ${cfiUpdate.isMisPred}\n")
52409c6f1ddSLingrui98    XSDebug(cond, p"[pred_taken] ${cfiUpdate.predTaken} [taken] ${cfiUpdate.taken} [isMisPred] ${cfiUpdate.isMisPred}\n")
52509c6f1ddSLingrui98    XSDebug(cond, p"[target] ${Hexadecimal(cfiUpdate.target)} \n")
52609c6f1ddSLingrui98    XSDebug(cond, p"[shift] ${cfiUpdate.shift}\n")
52709c6f1ddSLingrui98    XSDebug(cond, p"------------------------------- \n")
5289aca92b9SYinan Xu    XSDebug(cond, p"[robPtr] f=${robIdx.flag} v=${robIdx.value}\n")
52909c6f1ddSLingrui98    XSDebug(cond, p"[ftqPtr] f=${ftqIdx.flag} v=${ftqIdx.value} \n")
53009c6f1ddSLingrui98    XSDebug(cond, p"[ftqOffset] ${ftqOffset} \n")
53109c6f1ddSLingrui98    XSDebug(cond, p"[stFtqIdx] f=${stFtqIdx.flag} v=${stFtqIdx.value}\n")
53209c6f1ddSLingrui98    XSDebug(cond, p"[stFtqOffset] ${stFtqOffset}\n")
53309c6f1ddSLingrui98    XSDebug(cond, p"---------------------------------------------- \n")
53409c6f1ddSLingrui98  }
53509c6f1ddSLingrui98}
536