xref: /XiangShan/src/main/scala/xiangshan/frontend/Frontend.scala (revision 7a2fc509e2d355879c4db3dc3f17a6ccacd3d09e)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.frontend
18import chipsalliance.rocketchip.config.Parameters
19import chisel3._
20import chisel3.util._
21import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
22import utils._
23import xiangshan._
24import xiangshan.backend.fu.{PFEvent, PMP, PMPChecker,PMPReqBundle}
25import xiangshan.cache.mmu._
26import xiangshan.frontend.icache._
27
28
29class Frontend()(implicit p: Parameters) extends LazyModule with HasXSParameter{
30
31  val instrUncache  = LazyModule(new InstrUncache())
32  val icache        = LazyModule(new ICache())
33
34  lazy val module = new FrontendImp(this)
35}
36
37
38class FrontendImp (outer: Frontend) extends LazyModuleImp(outer)
39  with HasXSParameter
40  with HasPerfEvents
41{
42  val io = IO(new Bundle() {
43    val hartId = Input(UInt(8.W))
44    val reset_vector = Input(UInt(PAddrBits.W))
45    val fencei = Input(Bool())
46    val ptw = new TlbPtwIO(6)
47    val backend = new FrontendToCtrlIO
48    val sfence = Input(new SfenceBundle)
49    val tlbCsr = Input(new TlbCsrBundle)
50    val csrCtrl = Input(new CustomCSRCtrlIO)
51    val csrUpdate = new DistributedCSRUpdateReq
52    val error  = new L1CacheErrorInfo
53    val frontendInfo = new Bundle {
54      val ibufFull  = Output(Bool())
55      val bpuInfo = new Bundle {
56        val bpRight = Output(UInt(XLEN.W))
57        val bpWrong = Output(UInt(XLEN.W))
58      }
59    }
60  })
61
62  //decouped-frontend modules
63  val instrUncache = outer.instrUncache.module
64  val icache       = outer.icache.module
65  val bpu     = Module(new Predictor)
66  val ifu     = Module(new NewIFU)
67  val ibuffer =  Module(new Ibuffer)
68  val ftq = Module(new Ftq)
69
70  val tlbCsr = DelayN(io.tlbCsr, 2)
71  val csrCtrl = DelayN(io.csrCtrl, 2)
72
73  // trigger
74  ifu.io.frontendTrigger := csrCtrl.frontend_trigger
75  val triggerEn = csrCtrl.trigger_enable
76  ifu.io.csrTriggerEnable := VecInit(triggerEn(0), triggerEn(1), triggerEn(6), triggerEn(8))
77
78  // bpu ctrl
79  bpu.io.ctrl := csrCtrl.bp_ctrl
80  bpu.io.reset_vector := io.reset_vector
81
82// pmp
83  val pmp = Module(new PMP())
84  val pmp_check = VecInit(Seq.fill(4)(Module(new PMPChecker(3, sameCycle = true)).io))
85  pmp.io.distribute_csr := csrCtrl.distribute_csr
86  val pmp_req_vec     = Wire(Vec(4, Valid(new PMPReqBundle())))
87  pmp_req_vec(0) <> icache.io.pmp(0).req
88  pmp_req_vec(1) <> icache.io.pmp(1).req
89  pmp_req_vec(2) <> icache.io.pmp(2).req
90  pmp_req_vec(3) <> ifu.io.pmp.req
91
92  for (i <- pmp_check.indices) {
93    pmp_check(i).apply(tlbCsr.priv.imode, pmp.io.pmp, pmp.io.pma, pmp_req_vec(i))
94  }
95  icache.io.pmp(0).resp <> pmp_check(0).resp
96  icache.io.pmp(1).resp <> pmp_check(1).resp
97  icache.io.pmp(2).resp <> pmp_check(2).resp
98  ifu.io.pmp.resp <> pmp_check(3).resp
99
100  // val tlb_req_arb     = Module(new Arbiter(new TlbReq, 2))
101  // tlb_req_arb.io.in(0) <> ifu.io.iTLBInter.req
102  // tlb_req_arb.io.in(1) <> icache.io.itlb(1).req
103
104  val itlb_requestors = Wire(Vec(6, new BlockTlbRequestIO))
105  itlb_requestors(0) <> icache.io.itlb(0)
106  itlb_requestors(1) <> icache.io.itlb(1)
107  itlb_requestors(2) <> icache.io.itlb(2)
108  itlb_requestors(3) <> icache.io.itlb(3)
109  itlb_requestors(4) <> icache.io.itlb(4)
110  itlb_requestors(5) <> ifu.io.iTLBInter
111
112  // itlb_requestors(1).req <>  tlb_req_arb.io.out
113
114  // ifu.io.iTLBInter.resp  <> itlb_requestors(1).resp
115  // icache.io.itlb(1).resp <> itlb_requestors(1).resp
116
117  io.ptw <> TLB(
118    //in = Seq(icache.io.itlb(0), icache.io.itlb(1)),
119    in = Seq(itlb_requestors(0),itlb_requestors(1),itlb_requestors(2),itlb_requestors(3),itlb_requestors(4),itlb_requestors(5)),
120    sfence = io.sfence,
121    csr = tlbCsr,
122    width = 6,
123    shouldBlock = true,
124    itlbParams
125  )
126
127  icache.io.prefetch <> ftq.io.toPrefetch
128
129  val needFlush = RegNext(io.backend.toFtq.redirect.valid)
130
131  //IFU-Ftq
132  ifu.io.ftqInter.fromFtq <> ftq.io.toIfu
133  ftq.io.fromIfu          <> ifu.io.ftqInter.toFtq
134  bpu.io.ftq_to_bpu       <> ftq.io.toBpu
135  ftq.io.fromBpu          <> bpu.io.bpu_to_ftq
136  //IFU-ICache
137  for(i <- 0 until 2){
138    ifu.io.icacheInter(i).req       <>      icache.io.fetch(i).req
139    icache.io.fetch(i).req <> ifu.io.icacheInter(i).req
140    ifu.io.icacheInter(i).resp <> icache.io.fetch(i).resp
141  }
142  icache.io.stop := ifu.io.icacheStop
143
144  ifu.io.icachePerfInfo := icache.io.perfInfo
145
146  icache.io.csr.distribute_csr <> csrCtrl.distribute_csr
147  io.csrUpdate := RegNext(icache.io.csr.update)
148
149  icache.io.csr_pf_enable     := RegNext(csrCtrl.l1I_pf_enable)
150  icache.io.csr_parity_enable := RegNext(csrCtrl.icache_parity_enable)
151
152  //IFU-Ibuffer
153  ifu.io.toIbuffer    <> ibuffer.io.in
154
155  ftq.io.fromBackend <> io.backend.toFtq
156  io.backend.fromFtq <> ftq.io.toBackend
157  io.frontendInfo.bpuInfo <> ftq.io.bpuInfo
158
159  ifu.io.rob_commits <> io.backend.toFtq.rob_commits
160
161  ibuffer.io.flush := needFlush
162  io.backend.cfVec <> ibuffer.io.out
163
164  instrUncache.io.req   <> ifu.io.uncacheInter.toUncache
165  ifu.io.uncacheInter.fromUncache <> instrUncache.io.resp
166  instrUncache.io.flush := false.B
167  io.error <> RegNext(RegNext(icache.io.error))
168
169  icache.io.hartId := io.hartId
170
171  val frontendBubble = PopCount((0 until DecodeWidth).map(i => io.backend.cfVec(i).ready && !ibuffer.io.out(i).valid))
172  XSPerfAccumulate("FrontendBubble", frontendBubble)
173  io.frontendInfo.ibufFull := RegNext(ibuffer.io.full)
174
175  // PFEvent
176  val pfevent = Module(new PFEvent)
177  pfevent.io.distribute_csr := io.csrCtrl.distribute_csr
178  val csrevents = pfevent.io.hpmevent.take(8)
179
180  val allPerfEvents = Seq(ifu, ibuffer, icache, ftq, bpu).flatMap(_.getPerf)
181  override val perfEvents = HPerfMonitor(csrevents, allPerfEvents).getPerfEvents
182  generatePerfEvent()
183}
184