109c6f1ddSLingrui98/*************************************************************************************** 209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory 409c6f1ddSLingrui98* 509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2. 609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2. 709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at: 809c6f1ddSLingrui98* http://license.coscl.org.cn/MulanPSL2 909c6f1ddSLingrui98* 1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 1309c6f1ddSLingrui98* 1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details. 1509c6f1ddSLingrui98***************************************************************************************/ 1609c6f1ddSLingrui98 1709c6f1ddSLingrui98package xiangshan.frontend 1809c6f1ddSLingrui98import utils._ 1909c6f1ddSLingrui98import chisel3._ 2009c6f1ddSLingrui98import chisel3.util._ 2109c6f1ddSLingrui98import chipsalliance.rocketchip.config.Parameters 2209c6f1ddSLingrui98import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 2309c6f1ddSLingrui98import xiangshan._ 2409c6f1ddSLingrui98import xiangshan.cache._ 25*b6982e83SLemoverimport xiangshan.cache.mmu.{TLB, TlbPtwIO, TlbRequestIO} 26*b6982e83SLemoverimport xiangshan.backend.fu.{HasExceptionNO, PMP, PMPChecker} 2709c6f1ddSLingrui98import system.L1CacheErrorInfo 2809c6f1ddSLingrui98 2909c6f1ddSLingrui98 3009c6f1ddSLingrui98class Frontend()(implicit p: Parameters) extends LazyModule with HasXSParameter{ 3109c6f1ddSLingrui98 3209c6f1ddSLingrui98 val instrUncache = LazyModule(new InstrUncache()) 3309c6f1ddSLingrui98 val icache = LazyModule(new ICache()) 3409c6f1ddSLingrui98 3509c6f1ddSLingrui98 lazy val module = new FrontendImp(this) 3609c6f1ddSLingrui98} 3709c6f1ddSLingrui98 3809c6f1ddSLingrui98 3909c6f1ddSLingrui98class FrontendImp (outer: Frontend) extends LazyModuleImp(outer) 4009c6f1ddSLingrui98 with HasXSParameter 4109c6f1ddSLingrui98 with HasExceptionNO 4209c6f1ddSLingrui98{ 4309c6f1ddSLingrui98 val io = IO(new Bundle() { 4409c6f1ddSLingrui98 val fencei = Input(Bool()) 4509c6f1ddSLingrui98 val ptw = new TlbPtwIO(2) 4609c6f1ddSLingrui98 val backend = new FrontendToCtrlIO 4709c6f1ddSLingrui98 val sfence = Input(new SfenceBundle) 4809c6f1ddSLingrui98 val tlbCsr = Input(new TlbCsrBundle) 4909c6f1ddSLingrui98 val csrCtrl = Input(new CustomCSRCtrlIO) 5009c6f1ddSLingrui98 val error = new L1CacheErrorInfo 5109c6f1ddSLingrui98 val frontendInfo = new Bundle { 5209c6f1ddSLingrui98 val ibufFull = Output(Bool()) 5309c6f1ddSLingrui98 val bpuInfo = new Bundle { 5409c6f1ddSLingrui98 val bpRight = Output(UInt(XLEN.W)) 5509c6f1ddSLingrui98 val bpWrong = Output(UInt(XLEN.W)) 5609c6f1ddSLingrui98 } 5709c6f1ddSLingrui98 } 5809c6f1ddSLingrui98 }) 5909c6f1ddSLingrui98 6009c6f1ddSLingrui98 //decouped-frontend modules 6109c6f1ddSLingrui98 val bpu = Module(new Predictor) 6209c6f1ddSLingrui98 val ifu = Module(new NewIFU) 6309c6f1ddSLingrui98 val ibuffer = Module(new Ibuffer) 6409c6f1ddSLingrui98 val ftq = Module(new Ftq) 6509c6f1ddSLingrui98 //icache 6609c6f1ddSLingrui98 67*b6982e83SLemover // pmp 68*b6982e83SLemover val pmp = Module(new PMP()) 69*b6982e83SLemover val pmp_check = VecInit(Seq.fill(2)(Module(new PMPChecker(3, sameCycle = true)).io)) 70*b6982e83SLemover pmp.io.distribute_csr := io.csrCtrl.distribute_csr 71*b6982e83SLemover for (i <- pmp_check.indices) { 72*b6982e83SLemover pmp_check(i).env.pmp := pmp.io.pmp 73*b6982e83SLemover pmp_check(i).env.mode := io.tlbCsr.priv.imode 74*b6982e83SLemover pmp_check(i).req <> ifu.io.pmp(i).req 75*b6982e83SLemover ifu.io.pmp(i).resp <> pmp_check(i).resp 76*b6982e83SLemover } 77*b6982e83SLemover 7809c6f1ddSLingrui98 io.ptw <> TLB( 7909c6f1ddSLingrui98 in = Seq(ifu.io.iTLBInter(0), ifu.io.iTLBInter(1)), 8009c6f1ddSLingrui98 sfence = io.sfence, 8109c6f1ddSLingrui98 csr = io.tlbCsr, 8209c6f1ddSLingrui98 width = 2, 83a0301c0dSLemover shouldBlock = true, 84a0301c0dSLemover itlbParams 8509c6f1ddSLingrui98 ) 8609c6f1ddSLingrui98 //TODO: modules need to be removed 8709c6f1ddSLingrui98 val instrUncache = outer.instrUncache.module 8809c6f1ddSLingrui98 val icache = outer.icache.module 8909c6f1ddSLingrui98 90efcb3cd3SJinYue icache.io.fencei := RegNext(io.fencei) 91efcb3cd3SJinYue 9209c6f1ddSLingrui98 val needFlush = io.backend.toFtq.stage3Redirect.valid 9309c6f1ddSLingrui98 9409c6f1ddSLingrui98 //IFU-Ftq 9509c6f1ddSLingrui98 ifu.io.ftqInter.fromFtq <> ftq.io.toIfu 9609c6f1ddSLingrui98 ftq.io.fromIfu <> ifu.io.ftqInter.toFtq 9709c6f1ddSLingrui98 bpu.io.ftq_to_bpu <> ftq.io.toBpu 9809c6f1ddSLingrui98 ftq.io.fromBpu <> bpu.io.bpu_to_ftq 9909c6f1ddSLingrui98 //IFU-ICache 10009c6f1ddSLingrui98 ifu.io.icacheInter.toIMeta <> icache.io.metaRead.req 10109c6f1ddSLingrui98 ifu.io.icacheInter.fromIMeta <> icache.io.metaRead.resp 10209c6f1ddSLingrui98 ifu.io.icacheInter.toIData <> icache.io.dataRead.req 10309c6f1ddSLingrui98 ifu.io.icacheInter.fromIData <> icache.io.dataRead.resp 10409c6f1ddSLingrui98 10509c6f1ddSLingrui98 for(i <- 0 until 2){ 10609c6f1ddSLingrui98 ifu.io.icacheInter.toMissQueue(i) <> icache.io.missQueue.req(i) 10709c6f1ddSLingrui98 ifu.io.icacheInter.fromMissQueue(i) <> icache.io.missQueue.resp(i) 10809c6f1ddSLingrui98 } 10909c6f1ddSLingrui98 11009c6f1ddSLingrui98 icache.io.missQueue.flush := ifu.io.ftqInter.fromFtq.redirect.valid || (ifu.io.ftqInter.toFtq.pdWb.valid && ifu.io.ftqInter.toFtq.pdWb.bits.misOffset.valid) 11109c6f1ddSLingrui98 11209c6f1ddSLingrui98 //IFU-Ibuffer 11309c6f1ddSLingrui98 ifu.io.toIbuffer <> ibuffer.io.in 11409c6f1ddSLingrui98 11509c6f1ddSLingrui98 ftq.io.fromBackend <> io.backend.toFtq 11609c6f1ddSLingrui98 io.backend.fromFtq <> ftq.io.toBackend 11709c6f1ddSLingrui98 io.frontendInfo.bpuInfo <> ftq.io.bpuInfo 11809c6f1ddSLingrui98 11909c6f1ddSLingrui98 ibuffer.io.flush := needFlush 12009c6f1ddSLingrui98 io.backend.cfVec <> ibuffer.io.out 12109c6f1ddSLingrui98 12209c6f1ddSLingrui98 instrUncache.io.req <> DontCare 12309c6f1ddSLingrui98 instrUncache.io.resp <> DontCare 12409c6f1ddSLingrui98 instrUncache.io.flush <> DontCare 12509c6f1ddSLingrui98 io.error <> DontCare 12609c6f1ddSLingrui98 12709c6f1ddSLingrui98 val frontendBubble = PopCount((0 until DecodeWidth).map(i => io.backend.cfVec(i).ready && !ibuffer.io.out(i).valid)) 12809c6f1ddSLingrui98 XSPerfAccumulate("FrontendBubble", frontendBubble) 12909c6f1ddSLingrui98 13009c6f1ddSLingrui98 io.frontendInfo.ibufFull := RegNext(ibuffer.io.full) 13109c6f1ddSLingrui98} 132