xref: /XiangShan/src/main/scala/xiangshan/frontend/FauFTB.scala (revision 81cbff077dfbdc9bccc3bcfb47d9666617c23f0e)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.frontend
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import utility._
24import xiangshan._
25import scala.{Tuple2 => &}
26
27trait FauFTBParams extends HasXSParameter with HasBPUConst {
28  val numWays = 32
29  val tagSize = 16
30
31  val TAR_STAT_SZ = 2
32  def TAR_FIT = 0.U(TAR_STAT_SZ.W)
33  def TAR_OVF = 1.U(TAR_STAT_SZ.W)
34  def TAR_UDF = 2.U(TAR_STAT_SZ.W)
35
36  def BR_OFFSET_LEN = 12
37  def JMP_OFFSET_LEN = 20
38
39  def getTag(pc: UInt) = pc(tagSize+instOffsetBits-1, instOffsetBits)
40}
41
42class FauFTBEntry(implicit p: Parameters) extends FTBEntry()(p) {}
43
44class FauFTBWay(implicit p: Parameters) extends XSModule with FauFTBParams {
45  val io = IO(new Bundle{
46    val req_tag = Input(UInt(tagSize.W))
47    val resp = Output(new FauFTBEntry)
48    val resp_hit = Output(Bool())
49    val update_req_tag = Input(UInt(tagSize.W))
50    val update_hit = Output(Bool())
51    val write_valid = Input(Bool())
52    val write_entry = Input(new FauFTBEntry)
53    val write_tag = Input(UInt(tagSize.W))
54    val tag_read = Output(UInt(tagSize.W))
55  })
56
57  val data = Reg(new FauFTBEntry)
58  val tag = Reg(UInt(tagSize.W))
59  val valid = RegInit(false.B)
60
61  io.resp := data
62  io.resp_hit := tag === io.req_tag && valid
63  // write bypass to avoid multiple hit
64  io.update_hit := ((tag === io.update_req_tag) && valid) ||
65                   ((io.write_tag === io.update_req_tag) && io.write_valid)
66  io.tag_read := tag
67
68  when (io.write_valid) {
69    when (!valid) {
70      valid := true.B
71    }
72    tag   := io.write_tag
73    data  := io.write_entry
74  }
75}
76
77
78class FauFTB(implicit p: Parameters) extends BasePredictor with FauFTBParams {
79
80  class FauFTBMeta(implicit p: Parameters) extends XSBundle with FauFTBParams {
81    val pred_way = UInt(log2Ceil(numWays).W)
82    val hit = Bool()
83  }
84  val resp_meta = Wire(new FauFTBMeta)
85  override val meta_size = resp_meta.getWidth
86  override val is_fast_pred = true
87
88
89
90  val ways = Seq.tabulate(numWays)(w => Module(new FauFTBWay))
91  // numWays * numBr
92  val ctrs = Seq.tabulate(numWays)(w => Seq.tabulate(numBr)(b => RegInit(2.U(2.W))))
93  val replacer = ReplacementPolicy.fromString("plru", numWays)
94  val replacer_touch_ways = Wire(Vec(2, Valid(UInt(log2Ceil(numWays).W))))
95
96
97  // pred req
98  ways.foreach(_.io.req_tag := getTag(s1_pc_dup(0)))
99
100  // pred resp
101  val s1_hit_oh = VecInit(ways.map(_.io.resp_hit)).asUInt
102  val s1_hit = s1_hit_oh.orR
103  val s1_hit_way = OHToUInt(s1_hit_oh)
104  val s1_possible_full_preds = Wire(Vec(numWays, new FullBranchPrediction))
105
106  val s1_all_entries = VecInit(ways.map(_.io.resp))
107  for (c & fp & e <- ctrs zip s1_possible_full_preds zip s1_all_entries) {
108    fp.hit := DontCare
109    fp.fromFtbEntry(e, s1_pc_dup(0))
110    for (i <- 0 until numBr) {
111      fp.br_taken_mask(i) := c(i)(1) || e.always_taken(i)
112    }
113  }
114  val s1_hit_full_pred = Mux1H(s1_hit_oh, s1_possible_full_preds)
115  XSError(PopCount(s1_hit_oh) > 1.U, "fauftb has multiple hits!\n")
116  val fauftb_enable = RegNext(io.ctrl.ubtb_enable)
117  io.out.s1.full_pred.map(_ := s1_hit_full_pred)
118  io.out.s1.full_pred.map(_ .hit := s1_hit && fauftb_enable)
119
120  // assign metas
121  io.out.last_stage_meta := resp_meta.asUInt
122  resp_meta.hit := RegEnable(RegEnable(s1_hit, io.s1_fire(0)), io.s2_fire(0))
123  resp_meta.pred_way := RegEnable(RegEnable(s1_hit_way, io.s1_fire(0)), io.s2_fire(0))
124
125  // pred update replacer state
126  val s1_fire = io.s1_fire(0)
127  replacer_touch_ways(0).valid := RegNext(s1_fire(0) && s1_hit)
128  replacer_touch_ways(0).bits  := RegEnable(s1_hit_way, s1_fire(0) && s1_hit)
129
130  /********************** update ***********************/
131  // s0: update_valid, read and tag comparison
132  // s1: alloc_way and write
133
134  // s0
135  val u = io.update
136  val u_meta = u.bits.meta.asTypeOf(new FauFTBMeta)
137  val u_s0_tag = getTag(u.bits.pc)
138  ways.foreach(_.io.update_req_tag := u_s0_tag)
139  val u_s0_hit_oh = VecInit(ways.map(_.io.update_hit)).asUInt
140  val u_s0_hit = u_s0_hit_oh.orR
141  val u_s0_br_update_valids =
142    VecInit((0 until numBr).map(w =>
143      u.bits.ftb_entry.brValids(w) && u.valid && !u.bits.ftb_entry.always_taken(w) &&
144      !(PriorityEncoder(u.bits.br_taken_mask) < w.U)))
145
146  // s1
147  val u_s1_valid = RegNext(u.valid)
148  val u_s1_tag       = RegEnable(u_s0_tag, u.valid)
149  val u_s1_hit_oh    = RegEnable(u_s0_hit_oh, u.valid)
150  val u_s1_hit       = RegEnable(u_s0_hit, u.valid)
151  val u_s1_alloc_way = replacer.way
152  val u_s1_write_way_oh = Mux(u_s1_hit, u_s1_hit_oh, UIntToOH(u_s1_alloc_way))
153  val u_s1_ftb_entry = RegEnable(u.bits.ftb_entry, u.valid)
154  val u_s1_ways_write_valid = VecInit((0 until numWays).map(w => u_s1_write_way_oh(w).asBool && u_s1_valid))
155  for (w <- 0 until numWays) {
156    ways(w).io.write_valid := u_s1_ways_write_valid(w)
157    ways(w).io.write_tag   := u_s1_tag
158    ways(w).io.write_entry := u_s1_ftb_entry
159  }
160
161  // update saturating counters
162  val u_s1_br_update_valids = RegEnable(u_s0_br_update_valids, u.valid)
163  val u_s1_br_takens        = RegEnable(u.bits.br_taken_mask,  u.valid)
164  for (w <- 0 until numWays) {
165    when (u_s1_ways_write_valid(w)) {
166      for (br <- 0 until numBr) {
167        when (u_s1_br_update_valids(br)) {
168          ctrs(w)(br) := satUpdate(ctrs(w)(br), 2, u_s1_br_takens(br))
169        }
170      }
171    }
172  }
173
174  // commit update replacer state
175  replacer_touch_ways(1).valid := u_s1_valid
176  replacer_touch_ways(1).bits  := OHToUInt(u_s1_write_way_oh)
177
178  /******** update replacer *********/
179  replacer.access(replacer_touch_ways)
180
181
182  /********************** perf counters **********************/
183  val s0_fire_next_cycle = RegNext(io.s0_fire(0))
184  val u_pred_hit_way_map   = (0 until numWays).map(w => s0_fire_next_cycle && s1_hit && s1_hit_way === w.U)
185  val u_commit_hit_way_map = (0 until numWays).map(w => u.valid && u_meta.hit && u_meta.pred_way === w.U)
186  XSPerfAccumulate("uftb_read_hits",   s0_fire_next_cycle &&  s1_hit)
187  XSPerfAccumulate("uftb_read_misses", s0_fire_next_cycle && !s1_hit)
188  XSPerfAccumulate("uftb_commit_hits",   u.valid &&  u_meta.hit)
189  XSPerfAccumulate("uftb_commit_misses", u.valid && !u_meta.hit)
190  XSPerfAccumulate("uftb_commit_read_hit_pred_miss", u.valid && !u_meta.hit && u_s0_hit_oh.orR)
191  for (w <- 0 until numWays) {
192    XSPerfAccumulate(f"uftb_pred_hit_way_${w}",   u_pred_hit_way_map(w))
193    XSPerfAccumulate(f"uftb_commit_hit_way_${w}", u_commit_hit_way_map(w))
194    XSPerfAccumulate(f"uftb_replace_way_${w}", !u_s1_hit && u_s1_alloc_way === w.U)
195  }
196
197  override val perfEvents = Seq(
198    ("fauftb_commit_hit       ", u.valid &&  u_meta.hit),
199    ("fauftb_commit_miss      ", u.valid && !u_meta.hit),
200  )
201  generatePerfEvent()
202
203}