xref: /XiangShan/src/main/scala/xiangshan/frontend/Bim.scala (revision d077b11804222346941c6a7dc7188e89b46579a4)
1package xiangshan.frontend
2
3import chisel3._
4import chisel3.util._
5import xiangshan._
6import xiangshan.backend.ALUOpType
7import utils._
8import chisel3.util.experimental.BoringUtils
9import xiangshan.backend.decode.XSTrap
10
11trait BimParams extends HasXSParameter {
12  val BimBanks = PredictWidth
13  val BimSize = 4096
14  val nRows = BimSize / BimBanks
15}
16
17class BIM extends BasePredictor with BimParams{
18  class BIMResp extends Resp {
19    val ctrs = Vec(PredictWidth, UInt(2.W))
20  }
21  class BIMMeta extends Meta {
22    val ctrs = Vec(PredictWidth, UInt(2.W))
23  }
24  class BIMFromOthers extends FromOthers {}
25
26  class BIMIO extends DefaultBasePredictorIO {
27    val resp = Output(new BIMResp)
28    val meta = Output(new BIMMeta)
29  }
30
31  override val io = IO(new BIMIO)
32  // Update logic
33  // 1 calculate new 2-bit saturated counter value
34  def satUpdate(old: UInt, len: Int, taken: Bool): UInt = {
35    val oldSatTaken = old === ((1 << len)-1).U
36    val oldSatNotTaken = old === 0.U
37    Mux(oldSatTaken && taken, ((1 << len)-1).U,
38      Mux(oldSatNotTaken && !taken, 0.U,
39        Mux(taken, old + 1.U, old - 1.U)))
40  }
41
42  val bimAddr = new TableAddr(log2Up(BimSize), BimBanks)
43
44  val pcLatch = RegEnable(io.pc.bits, io.pc.valid)
45
46  val bim = List.fill(BimBanks) {
47    Module(new SRAMTemplate(UInt(2.W), set = nRows, shouldReset = true, holdRead = true))
48  }
49
50  val baseBank = bimAddr.getBank(io.pc.bits)
51
52  val realMask = circularShiftRight(io.inMask, BimBanks, baseBank)
53
54  // those banks whose indexes are less than baseBank are in the next row
55  val isInNextRow = VecInit((0 until BtbBanks).map(_.U < baseBank))
56
57  val baseRow = bimAddr.getBankIdx(io.pc.bits)
58
59  val realRow = VecInit((0 until BimBanks).map(b => Mux(isInNextRow(b.U), (baseRow+1.U)(log2Up(nRows)-1, 0), baseRow)))
60
61  val realRowLatch = VecInit(realRow.map(RegEnable(_, enable=io.pc.valid)))
62
63  for (b <- 0 until BimBanks) {
64    bim(b).reset                := reset.asBool
65    bim(b).io.r.req.valid       := realMask(b) && io.pc.valid
66    bim(b).io.r.req.bits.setIdx := realRow(b)
67  }
68
69  val bimRead = VecInit(bim.map(_.io.r.resp.data(0)))
70
71  val baseBankLatch = bimAddr.getBank(pcLatch)
72
73  // e.g: baseBank == 5 => (5, 6,..., 15, 0, 1, 2, 3, 4)
74  val bankIdxInOrder = VecInit((0 until BimBanks).map(b => (baseBankLatch +& b.U)(log2Up(BimBanks)-1, 0)))
75
76  for (b <- 0 until BimBanks) {
77    val ctr = bimRead(bankIdxInOrder(b))
78    io.resp.ctrs(b)  := ctr
79    io.meta.ctrs(b)  := ctr
80  }
81
82  val u = io.update.bits.ui
83
84  val updateBank = bimAddr.getBank(u.pc)
85  val updateRow = bimAddr.getBankIdx(u.pc)
86
87  val oldCtr = u.brInfo.bimCtr
88  val newTaken = u.taken
89  val oldSaturated = u.taken && oldCtr === 3.U || !u.taken && oldCtr === 0.U
90
91  val needToUpdate = io.update.valid && !oldSaturated && u.pd.isBr
92
93  for (b <- 0 until BimBanks) {
94    bim(b).io.w.req.valid := needToUpdate && b.U === updateBank
95    bim(b).io.w.req.bits.setIdx := updateRow
96    bim(b).io.w.req.bits.data := satUpdate(oldCtr, 2, newTaken)
97  }
98}