xref: /XiangShan/src/main/scala/xiangshan/frontend/Bim.scala (revision cf7d6b7a1a781c73aeb87de112de2e7fe5ea3b7c)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
16adc0b8dfSGuokai Chen/*
1709c6f1ddSLingrui98package xiangshan.frontend
1809c6f1ddSLingrui98
19*8891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
2009c6f1ddSLingrui98import chisel3._
2109c6f1ddSLingrui98import chisel3.util._
2209c6f1ddSLingrui98import xiangshan._
2309c6f1ddSLingrui98import utils._
243c02ee8fSwakafaimport utility._
2509c6f1ddSLingrui98
2609c6f1ddSLingrui98trait BimParams extends HasXSParameter {
2709c6f1ddSLingrui98  val bimSize = 2048
2809c6f1ddSLingrui98  val bypassEntries = 4
2909c6f1ddSLingrui98}
3009c6f1ddSLingrui98
3109c6f1ddSLingrui98class BIM(implicit p: Parameters) extends BasePredictor with BimParams with BPUUtils {
3209c6f1ddSLingrui98  val bimAddr = new TableAddr(log2Up(bimSize), 1)
3309c6f1ddSLingrui98
3409c6f1ddSLingrui98  val bim = Module(new SRAMTemplate(UInt(2.W), set = bimSize, way=numBr, shouldReset = false, holdRead = true))
3509c6f1ddSLingrui98
3609c6f1ddSLingrui98  val doing_reset = RegInit(true.B)
3709c6f1ddSLingrui98  val resetRow = RegInit(0.U(log2Ceil(bimSize).W))
3809c6f1ddSLingrui98  resetRow := resetRow + doing_reset
3909c6f1ddSLingrui98  when (resetRow === (bimSize-1).U) { doing_reset := false.B }
4009c6f1ddSLingrui98
41adc0b8dfSGuokai Chen  val s0_idx = bimAddr.getIdx(s0_pc_dup(0))
4209c6f1ddSLingrui98
434dec0a5eSLingrui98  // bim.io.r.req.valid := io.s0_fire
444dec0a5eSLingrui98  bim.io.r.req.valid := false.B
4509c6f1ddSLingrui98  bim.io.r.req.bits.setIdx := s0_idx
4609c6f1ddSLingrui98
4709c6f1ddSLingrui98  io.in.ready := bim.io.r.req.ready
4809c6f1ddSLingrui98  io.s1_ready := bim.io.r.req.ready
4909c6f1ddSLingrui98
5009c6f1ddSLingrui98  val s1_read = bim.io.r.resp.data
5109c6f1ddSLingrui98
52c2d1ec7dSLingrui98  io.out := io.in.bits.resp_in(0)
5309c6f1ddSLingrui98
54935edac4STang Haojin  val s1_latch_taken_mask = VecInit(Cat(((0 until numBr).reverse).map(i => s1_read(i)(1))).asBools)
55935edac4STang Haojin  val s1_latch_meta       = s1_read.asUInt
5609c6f1ddSLingrui98  override val meta_size = s1_latch_meta.getWidth
5709c6f1ddSLingrui98
58c2d1ec7dSLingrui98  // io.out.s1.full_pred.br_taken_mask := s1_latch_taken_mask
59c2d1ec7dSLingrui98  // io.out.s2.full_pred.br_taken_mask := RegEnable(s1_latch_taken_mask, 0.U.asTypeOf(Vec(numBr, Bool())), io.s1_fire)
6009c6f1ddSLingrui98
61adc0b8dfSGuokai Chen  io.out.last_stage_meta := RegEnable(RegEnable(s1_latch_meta, io.s1_fire(0)), io.s2_fire(0)) // TODO: configurable with total-stages
6209c6f1ddSLingrui98
6309c6f1ddSLingrui98  // Update logic
6409c6f1ddSLingrui98  val u_valid = RegNext(io.update.valid)
6509c6f1ddSLingrui98  val update = RegNext(io.update.bits)
6609c6f1ddSLingrui98  val u_idx = bimAddr.getIdx(update.pc)
6709c6f1ddSLingrui98
68803124a6SLingrui98  val update_mask = LowerMask(PriorityEncoderOH(update.br_taken_mask.asUInt))
69569b279fSLingrui98  val newCtrs = Wire(Vec(numBr, UInt(2.W)))
70569b279fSLingrui98  val need_to_update = VecInit((0 until numBr).map(i => u_valid && update.ftb_entry.brValids(i) && update_mask(i)))
71569b279fSLingrui98
72569b279fSLingrui98
7309c6f1ddSLingrui98  // Bypass logic
74569b279fSLingrui98  val wrbypass = Module(new WrBypass(UInt(2.W), bypassEntries, log2Up(bimSize), numWays = numBr))
75569b279fSLingrui98  wrbypass.io.wen := need_to_update.reduce(_||_)
76569b279fSLingrui98  wrbypass.io.write_idx := u_idx
77569b279fSLingrui98  wrbypass.io.write_data := newCtrs
78569b279fSLingrui98  wrbypass.io.write_way_mask.map(_ := need_to_update)
7909c6f1ddSLingrui98
80569b279fSLingrui98  val oldCtrs =
81569b279fSLingrui98    VecInit((0 until numBr).map(i =>
82569b279fSLingrui98      Mux(wrbypass.io.hit && wrbypass.io.hit_data(i).valid,
83569b279fSLingrui98        wrbypass.io.hit_data(i).bits,
84569b279fSLingrui98        update.meta(2*i+1, 2*i))
85569b279fSLingrui98    ))
8609c6f1ddSLingrui98
87803124a6SLingrui98  val newTakens = update.br_taken_mask
88569b279fSLingrui98  newCtrs := VecInit((0 until numBr).map(i =>
8909c6f1ddSLingrui98    satUpdate(oldCtrs(i), 2, newTakens(i))
9009c6f1ddSLingrui98  ))
9109c6f1ddSLingrui98
9209c6f1ddSLingrui98
9309c6f1ddSLingrui98  bim.io.w.apply(
944dec0a5eSLingrui98    valid = false.B,
954dec0a5eSLingrui98    // valid = need_to_update.asUInt.orR || doing_reset,
9609c6f1ddSLingrui98    data = Mux(doing_reset, VecInit(Seq.fill(numBr)(2.U(2.W))), newCtrs),
9709c6f1ddSLingrui98    setIdx = Mux(doing_reset, resetRow, u_idx),
98935edac4STang Haojin    waymask = Mux(doing_reset, Fill(numBr, 1.U(1.W)).asUInt, need_to_update.asUInt)
9909c6f1ddSLingrui98  )
10009c6f1ddSLingrui98
10109c6f1ddSLingrui98  val latch_s0_fire = RegNext(io.s0_fire)
10209c6f1ddSLingrui98
10309c6f1ddSLingrui98  XSDebug(doing_reset, "Doing reset...\n")
10409c6f1ddSLingrui98
105adc0b8dfSGuokai Chen  XSDebug(io.s0_fire, "req_pc=%x, req_idx=%d\n", s0_pc_dup(0), s0_idx)
10609c6f1ddSLingrui98
10709c6f1ddSLingrui98  for(i <- 0 until numBr) {
10809c6f1ddSLingrui98    XSDebug(latch_s0_fire, "last_cycle req %d: ctr=%b\n", i.U, s1_read(i))
10909c6f1ddSLingrui98  }
11009c6f1ddSLingrui98
11109c6f1ddSLingrui98  XSDebug(u_valid, "update_pc=%x, update_idx=%d, is_br=%b\n", update.pc, u_idx, update.ftb_entry.brValids.asUInt)
11209c6f1ddSLingrui98
11309c6f1ddSLingrui98  XSDebug(u_valid, "newTakens=%b\n", newTakens.asUInt)
11409c6f1ddSLingrui98
11509c6f1ddSLingrui98  for(i <- 0 until numBr) {
11609c6f1ddSLingrui98    XSDebug(u_valid, "oldCtrs%d=%b\n", i.U, oldCtrs(i))
11709c6f1ddSLingrui98  }
11809c6f1ddSLingrui98
11909c6f1ddSLingrui98  for(i <- 0 until numBr) {
12009c6f1ddSLingrui98    XSDebug(u_valid, "newCtrs%d=%b\n", i.U, newCtrs(i))
12109c6f1ddSLingrui98  }
12209c6f1ddSLingrui98
12309c6f1ddSLingrui98}
124adc0b8dfSGuokai Chen */
125