xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/PageTableWalker.scala (revision d0de7e4a4bcd4633260dda99dfedc2a5e543b8b4)
16d5ddbceSLemover/***************************************************************************************
26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
46d5ddbceSLemover*
56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2.
66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at:
86d5ddbceSLemover*          http://license.coscl.org.cn/MulanPSL2
96d5ddbceSLemover*
106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
136d5ddbceSLemover*
146d5ddbceSLemover* See the Mulan PSL v2 for more details.
156d5ddbceSLemover***************************************************************************************/
166d5ddbceSLemover
176d5ddbceSLemoverpackage xiangshan.cache.mmu
186d5ddbceSLemover
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
206d5ddbceSLemoverimport chisel3._
216d5ddbceSLemoverimport chisel3.util._
226d5ddbceSLemoverimport xiangshan._
236d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
246d5ddbceSLemoverimport utils._
253c02ee8fSwakafaimport utility._
266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
276d5ddbceSLemoverimport freechips.rocketchip.tilelink._
28b6982e83SLemoverimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
296d5ddbceSLemover
3092e3bfefSLemover/** Page Table Walk is divided into two parts
3192e3bfefSLemover  * One,   PTW: page walk for pde, except for leaf entries, one by one
3292e3bfefSLemover  * Two, LLPTW: page walk for pte, only the leaf entries(4KB), in parallel
336d5ddbceSLemover  */
3492e3bfefSLemover
3592e3bfefSLemover
3692e3bfefSLemover/** PTW : page table walker
3792e3bfefSLemover  * a finite state machine
3892e3bfefSLemover  * only take 1GB and 2MB page walks
3992e3bfefSLemover  * or in other words, except the last level(leaf)
4092e3bfefSLemover  **/
4192e3bfefSLemoverclass PTWIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
426d5ddbceSLemover  val req = Flipped(DecoupledIO(new Bundle {
4345f497a4Shappy-lx    val req_info = new L2TlbInnerBundle()
446d5ddbceSLemover    val l1Hit = Bool()
456d5ddbceSLemover    val ppn = UInt(ppnLen.W)
466d5ddbceSLemover  }))
476d5ddbceSLemover  val resp = DecoupledIO(new Bundle {
48bc063562SLemover    val source = UInt(bSourceWidth.W)
49*d0de7e4aSpeixiaokun    val s2xlate = UInt(2.W) // 0 bit: has s2xlate, 1 bit: Only valid when 0 bit is 1. If 0, all stage; if 1, only stage 2
5063632028SHaoyuan Feng    val resp = new PtwMergeResp
51*d0de7e4aSpeixiaokun    val h_resp = new HptwResp
526d5ddbceSLemover  })
536d5ddbceSLemover
5492e3bfefSLemover  val llptw = DecoupledIO(new LLPTWInBundle())
559c503409SLemover  // NOTE: llptw change from "connect to llptw" to "connect to page cache"
569c503409SLemover  // to avoid corner case that caused duplicate entries
57cc5a5f22SLemover
58*d0de7e4aSpeixiaokun  val hptw = new Bundle {
59*d0de7e4aSpeixiaokun    val req = DecoupledIO(new Bundle {
60*d0de7e4aSpeixiaokun      val id = UInt(log2Up(l2tlbParams.llptwsize).W)
61*d0de7e4aSpeixiaokun      val gvpn = UInt(gvpnLen.W)
62*d0de7e4aSpeixiaokun    })
63*d0de7e4aSpeixiaokun    val resp = Flipped(Valid(new Bundle {
64*d0de7e4aSpeixiaokun      val h_resp = Output(new HptwResp)
65*d0de7e4aSpeixiaokun    }))
66*d0de7e4aSpeixiaokun  }
676d5ddbceSLemover  val mem = new Bundle {
68b848eea5SLemover    val req = DecoupledIO(new L2TlbMemReqBundle())
695854c1edSLemover    val resp = Flipped(ValidIO(UInt(XLEN.W)))
70cc5a5f22SLemover    val mask = Input(Bool())
716d5ddbceSLemover  }
72b6982e83SLemover  val pmp = new Bundle {
73b6982e83SLemover    val req = ValidIO(new PMPReqBundle())
74b6982e83SLemover    val resp = Flipped(new PMPRespBundle())
75b6982e83SLemover  }
766d5ddbceSLemover
776d5ddbceSLemover  val refill = Output(new Bundle {
7845f497a4Shappy-lx    val req_info = new L2TlbInnerBundle()
796d5ddbceSLemover    val level = UInt(log2Up(Level).W)
806d5ddbceSLemover  })
816d5ddbceSLemover}
826d5ddbceSLemover
8392e3bfefSLemoverclass PTW()(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents {
8492e3bfefSLemover  val io = IO(new PTWIO)
856d5ddbceSLemover  val sfence = io.sfence
866d5ddbceSLemover  val mem = io.mem
87*d0de7e4aSpeixiaokun  val req_s2xlate = Reg(UInt(2.W))
88*d0de7e4aSpeixiaokun  val enableS2xlate = RegInit(false.B)
89*d0de7e4aSpeixiaokun
90*d0de7e4aSpeixiaokun  val satp = Mux(enableS2xlate, io.csr.vsatp, io.csr.satp)
91*d0de7e4aSpeixiaokun  val hgatp = io.csr.hgatp
92*d0de7e4aSpeixiaokun  val flush = io.sfence.valid || satp.changed
93*d0de7e4aSpeixiaokun  val onlyS1xlate = satp.mode =/= 0.U && hgatp.mode === 0.U
94*d0de7e4aSpeixiaokun  val onlyS2xlate = satp.mode === 0.U && hgatp.mode =/= 0.U
95*d0de7e4aSpeixiaokun  val s2xlate = enableS2xlate && !onlyS1xlate
966d5ddbceSLemover
976d5ddbceSLemover  val level = RegInit(0.U(log2Up(Level).W))
98b6982e83SLemover  val af_level = RegInit(0.U(log2Up(Level).W)) // access fault return this level
996d5ddbceSLemover  val ppn = Reg(UInt(ppnLen.W))
1006d5ddbceSLemover  val vpn = Reg(UInt(vpnLen.W))
101*d0de7e4aSpeixiaokun  val gvpn = Reg(UInt(gvpnLen.W)) // for the cases: 1. satp == 0 and hgatp != 0 and exec hlv; 2. virtmode == 1, vsatp == 0 and hgatp != 0
1026d5ddbceSLemover  val levelNext = level + 1.U
1036d5ddbceSLemover  val l1Hit = Reg(Bool())
104*d0de7e4aSpeixiaokun  val pte = mem.resp.bits.asTypeOf(new PteBundle().cloneType)
1056d5ddbceSLemover
10644b79566SXiaokun-Pei  // s/w register
10744b79566SXiaokun-Pei  val s_pmp_check = RegInit(true.B)
10844b79566SXiaokun-Pei  val s_mem_req = RegInit(true.B)
10944b79566SXiaokun-Pei  val s_llptw_req = RegInit(true.B)
11044b79566SXiaokun-Pei  val w_mem_resp = RegInit(true.B)
111*d0de7e4aSpeixiaokun  val s_hptw_req = RegInit(true.B)
112*d0de7e4aSpeixiaokun  val w_hptw_resp = RegInit(true.B)
113*d0de7e4aSpeixiaokun  val s_last_hptw_req = RegInit(true.B)
114*d0de7e4aSpeixiaokun  val w_last_hptw_resp = RegInit(true.B)
11544b79566SXiaokun-Pei  // for updating "level"
11644b79566SXiaokun-Pei  val mem_addr_update = RegInit(false.B)
11744b79566SXiaokun-Pei
11844b79566SXiaokun-Pei  val idle = RegInit(true.B)
1192a906a65SHaoyuan Feng  val finish = WireInit(false.B)
1202a906a65SHaoyuan Feng  val sent_to_pmp = idle === false.B && (s_pmp_check === false.B || mem_addr_update) && !finish
12144b79566SXiaokun-Pei
122*d0de7e4aSpeixiaokun  val pageFault = pte.isPf(level)
12344b79566SXiaokun-Pei  val accessFault = RegEnable(io.pmp.resp.ld || io.pmp.resp.mmio, sent_to_pmp)
1246d5ddbceSLemover
125*d0de7e4aSpeixiaokun  val hptw_pageFault = RegInit(false.B)
126*d0de7e4aSpeixiaokun  val hptw_accessFault = RegInit(false.B)
127*d0de7e4aSpeixiaokun  val last_s2xlate = RegInit(false.B)
128*d0de7e4aSpeixiaokun
129*d0de7e4aSpeixiaokun  val ppn_af = pte.isAf()
130*d0de7e4aSpeixiaokun  val find_pte = pte.isLeaf() || ppn_af || pageFault
13144b79566SXiaokun-Pei  val to_find_pte = level === 1.U && find_pte === false.B
132935edac4STang Haojin  val source = RegEnable(io.req.bits.req_info.source, io.req.fire)
1336d5ddbceSLemover
1346d5ddbceSLemover  val l1addr = MakeAddr(satp.ppn, getVpnn(vpn, 2))
135*d0de7e4aSpeixiaokun  val l2addr = MakeAddr(Mux(l1Hit, ppn, pte.ppn), getVpnn(vpn, 1))
136b6982e83SLemover  val mem_addr = Mux(af_level === 0.U, l1addr, l2addr)
13744b79566SXiaokun-Pei
138*d0de7e4aSpeixiaokun  val hptw_resp = io.hptw.resp.bits.h_resp
139*d0de7e4aSpeixiaokun  val gpaddr = Mux(onlyS2xlate, Cat(gvpn, 0.U(offLen.W)), mem_addr)
140*d0de7e4aSpeixiaokun  val hpaddr = Cat(hptw_resp.entry.ppn, 0.U(offLen.W))
141*d0de7e4aSpeixiaokun
14244b79566SXiaokun-Pei  io.req.ready := idle
14344b79566SXiaokun-Pei
144*d0de7e4aSpeixiaokun  io.resp.valid := idle === false.B && mem_addr_update && !last_s2xlate && ((w_mem_resp && find_pte) || (s_pmp_check && accessFault) || onlyS2xlate)
14544b79566SXiaokun-Pei  io.resp.bits.source := source
146*d0de7e4aSpeixiaokun  io.resp.bits.resp.apply(pageFault && !accessFault && !ppn_af, accessFault || ppn_af, Mux(accessFault, af_level,level), pte, vpn, satp.asid, hgatp.asid, vpn(sectortlbwidth - 1, 0), not_super = false)
147*d0de7e4aSpeixiaokun  io.resp.bits.h_resp := io.hptw.resp.bits.h_resp
148*d0de7e4aSpeixiaokun  io.resp.bits.s2xlate := s2xlate
14944b79566SXiaokun-Pei
15044b79566SXiaokun-Pei  io.llptw.valid := s_llptw_req === false.B && to_find_pte && !accessFault
15144b79566SXiaokun-Pei  io.llptw.bits.req_info.source := source
15244b79566SXiaokun-Pei  io.llptw.bits.req_info.vpn := vpn
153*d0de7e4aSpeixiaokun  io.llptw.bits.req_info.gvpn := pte.ppn
154*d0de7e4aSpeixiaokun  io.llptw.bits.req_info.s2xlate(0) := enableS2xlate
155*d0de7e4aSpeixiaokun  io.llptw.bits.req_info.s2xlate(1) := 0.U
15644b79566SXiaokun-Pei
157b6982e83SLemover  io.pmp.req.valid := DontCare // samecycle, do not use valid
158*d0de7e4aSpeixiaokun  io.pmp.req.bits.addr := Mux(s2xlate, hpaddr, mem_addr)
159b6982e83SLemover  io.pmp.req.bits.size := 3.U // TODO: fix it
160b6982e83SLemover  io.pmp.req.bits.cmd := TlbCmd.read
161b6982e83SLemover
16244b79566SXiaokun-Pei  mem.req.valid := s_mem_req === false.B && !mem.mask && !accessFault && s_pmp_check
163*d0de7e4aSpeixiaokun  mem.req.bits.addr := Mux(s2xlate, hpaddr, mem_addr)
164bc063562SLemover  mem.req.bits.id := FsmReqID.U(bMemID.W)
1656d5ddbceSLemover
16645f497a4Shappy-lx  io.refill.req_info.vpn := vpn
1676d5ddbceSLemover  io.refill.level := level
16845f497a4Shappy-lx  io.refill.req_info.source := source
1696d5ddbceSLemover
170*d0de7e4aSpeixiaokun  io.hptw.req.valid := !s_hptw_req || !s_last_hptw_req
171*d0de7e4aSpeixiaokun  io.hptw.req.bits.id := FsmReqID.U(bMemID.W)
172*d0de7e4aSpeixiaokun  io.hptw.req.bits.gvpn := gvpn
173*d0de7e4aSpeixiaokun
174*d0de7e4aSpeixiaokun  io.hptw.req.valid := !s_hptw_req || !s_last_hptw_req
175*d0de7e4aSpeixiaokun  io.hptw.req.bits.id := FsmReqID.U(bMemID.W)
176*d0de7e4aSpeixiaokun  io.hptw.req.bits.gvpn := gvpn
177*d0de7e4aSpeixiaokun
178935edac4STang Haojin  when (io.req.fire){
17944b79566SXiaokun-Pei    val req = io.req.bits
18044b79566SXiaokun-Pei    level := Mux(req.l1Hit, 1.U, 0.U)
18144b79566SXiaokun-Pei    af_level := Mux(req.l1Hit, 1.U, 0.U)
18244b79566SXiaokun-Pei    ppn := Mux(req.l1Hit, io.req.bits.ppn, satp.ppn)
18344b79566SXiaokun-Pei    vpn := io.req.bits.req_info.vpn
184*d0de7e4aSpeixiaokun    gvpn := io.req.bits.req_info.gvpn
185*d0de7e4aSpeixiaokun    enableS2xlate := io.req.bits.req_info.s2xlate(0)
18644b79566SXiaokun-Pei    l1Hit := req.l1Hit
18744b79566SXiaokun-Pei    accessFault := false.B
18844b79566SXiaokun-Pei    s_pmp_check := false.B
18944b79566SXiaokun-Pei    idle := false.B
190*d0de7e4aSpeixiaokun    hptw_pageFault := false.B
191*d0de7e4aSpeixiaokun    s2xlate := io.req.bits.req_info.s2xlate
192*d0de7e4aSpeixiaokun    when((io.req.bits.req_info.s2xlate(0)) && hgatp.mode =/= 0.U){
193*d0de7e4aSpeixiaokun      last_s2xlate := true.B
194*d0de7e4aSpeixiaokun      s_hptw_req := false.B
195*d0de7e4aSpeixiaokun    }.otherwise {
196*d0de7e4aSpeixiaokun      s_pmp_check := false.B
197*d0de7e4aSpeixiaokun    }
198*d0de7e4aSpeixiaokun  }
199*d0de7e4aSpeixiaokun
200*d0de7e4aSpeixiaokun  when(io.hptw.req.fire() && s_hptw_req === false.B){
201*d0de7e4aSpeixiaokun    s_hptw_req := true.B
202*d0de7e4aSpeixiaokun    w_hptw_resp := false.B
203*d0de7e4aSpeixiaokun  }
204*d0de7e4aSpeixiaokun
205*d0de7e4aSpeixiaokun  when(io.hptw.resp.fire() && w_hptw_resp === false.B) {
206*d0de7e4aSpeixiaokun    hptw_pageFault := io.hptw.resp.bits.h_resp.gpf
207*d0de7e4aSpeixiaokun    hptw_accessFault := io.hptw.resp.bits.h_resp.gaf
208*d0de7e4aSpeixiaokun    w_hptw_resp := true.B
209*d0de7e4aSpeixiaokun    when(onlyS2xlate){
210*d0de7e4aSpeixiaokun      mem_addr_update := true.B
211*d0de7e4aSpeixiaokun      last_s2xlate := false.B
212*d0de7e4aSpeixiaokun    }.otherwise {
213*d0de7e4aSpeixiaokun      s_pmp_check := false.B
214*d0de7e4aSpeixiaokun    }
215*d0de7e4aSpeixiaokun  }
216*d0de7e4aSpeixiaokun
217*d0de7e4aSpeixiaokun  when(io.hptw.req.fire() && s_last_hptw_req === false.B) {
218*d0de7e4aSpeixiaokun    w_last_hptw_resp := false.B
219*d0de7e4aSpeixiaokun    s_last_hptw_req := true.B
220*d0de7e4aSpeixiaokun  }
221*d0de7e4aSpeixiaokun
222*d0de7e4aSpeixiaokun  when(io.hptw.resp.fire() && w_last_hptw_resp === false.B){
223*d0de7e4aSpeixiaokun    hptw_pageFault := io.hptw.resp.bits.h_resp.gpf
224*d0de7e4aSpeixiaokun    hptw_accessFault := io.hptw.resp.bits.h_resp.gaf
225*d0de7e4aSpeixiaokun    w_last_hptw_resp := true.B
226*d0de7e4aSpeixiaokun    mem_addr_update := true.B
227*d0de7e4aSpeixiaokun    last_s2xlate := false.B
22844b79566SXiaokun-Pei  }
22944b79566SXiaokun-Pei
23044b79566SXiaokun-Pei  when(sent_to_pmp && mem_addr_update === false.B){
23144b79566SXiaokun-Pei    s_mem_req := false.B
23244b79566SXiaokun-Pei    s_pmp_check := true.B
23344b79566SXiaokun-Pei  }
23444b79566SXiaokun-Pei
23544b79566SXiaokun-Pei  when(accessFault && idle === false.B){
23644b79566SXiaokun-Pei    s_pmp_check := true.B
23744b79566SXiaokun-Pei    s_mem_req := true.B
23844b79566SXiaokun-Pei    w_mem_resp := true.B
23944b79566SXiaokun-Pei    s_llptw_req := true.B
240*d0de7e4aSpeixiaokun    s_hptw_req := true.B
241*d0de7e4aSpeixiaokun    w_hptw_resp := true.B
242*d0de7e4aSpeixiaokun    s_last_hptw_req := true.B
243*d0de7e4aSpeixiaokun    w_last_hptw_resp := true.B
24444b79566SXiaokun-Pei    mem_addr_update := true.B
245*d0de7e4aSpeixiaokun    last_s2xlate := false.B
24644b79566SXiaokun-Pei  }
24744b79566SXiaokun-Pei
248935edac4STang Haojin  when (mem.req.fire){
24944b79566SXiaokun-Pei    s_mem_req := true.B
25044b79566SXiaokun-Pei    w_mem_resp := false.B
25144b79566SXiaokun-Pei  }
25244b79566SXiaokun-Pei
253935edac4STang Haojin  when(mem.resp.fire && w_mem_resp === false.B){
25444b79566SXiaokun-Pei    w_mem_resp := true.B
25544b79566SXiaokun-Pei    af_level := af_level + 1.U
25644b79566SXiaokun-Pei    s_llptw_req := false.B
25744b79566SXiaokun-Pei    mem_addr_update := true.B
25844b79566SXiaokun-Pei  }
25944b79566SXiaokun-Pei
26044b79566SXiaokun-Pei  when(mem_addr_update){
26144b79566SXiaokun-Pei    when(level === 0.U && !(find_pte || accessFault)){
26244b79566SXiaokun-Pei      level := levelNext
263*d0de7e4aSpeixiaokun      when(s2xlate){
264*d0de7e4aSpeixiaokun        s_hptw_req := false.B
265*d0de7e4aSpeixiaokun      }.otherwise{
26644b79566SXiaokun-Pei        s_mem_req := false.B
267*d0de7e4aSpeixiaokun      }
26844b79566SXiaokun-Pei      s_llptw_req := true.B
26944b79566SXiaokun-Pei      mem_addr_update := false.B
2702a906a65SHaoyuan Feng    }.elsewhen(io.llptw.valid){
271935edac4STang Haojin      when(io.llptw.fire) {
27244b79566SXiaokun-Pei        idle := true.B
27344b79566SXiaokun-Pei        s_llptw_req := true.B
27444b79566SXiaokun-Pei        mem_addr_update := false.B
275*d0de7e4aSpeixiaokun        last_s2xlate := false.B
2762a906a65SHaoyuan Feng      }
2772a906a65SHaoyuan Feng      finish := true.B
278*d0de7e4aSpeixiaokun    }.elsewhen(s2xlate && last_s2xlate === true.B) {
279*d0de7e4aSpeixiaokun      s_last_hptw_req := false.B
280*d0de7e4aSpeixiaokun      mem_addr_update := false.B
2812a906a65SHaoyuan Feng    }.elsewhen(io.resp.valid){
282935edac4STang Haojin      when(io.resp.fire) {
28344b79566SXiaokun-Pei        idle := true.B
28444b79566SXiaokun-Pei        s_llptw_req := true.B
28544b79566SXiaokun-Pei        mem_addr_update := false.B
28644b79566SXiaokun-Pei        accessFault := false.B
28744b79566SXiaokun-Pei      }
2882a906a65SHaoyuan Feng      finish := true.B
2892a906a65SHaoyuan Feng    }
29044b79566SXiaokun-Pei  }
29144b79566SXiaokun-Pei
29244b79566SXiaokun-Pei
29344b79566SXiaokun-Pei  when (sfence.valid) {
29444b79566SXiaokun-Pei    idle := true.B
29544b79566SXiaokun-Pei    s_pmp_check := true.B
29644b79566SXiaokun-Pei    s_mem_req := true.B
29744b79566SXiaokun-Pei    s_llptw_req := true.B
29844b79566SXiaokun-Pei    w_mem_resp := true.B
29944b79566SXiaokun-Pei    accessFault := false.B
300d826bce1SHaoyuan Feng    mem_addr_update := false.B
301*d0de7e4aSpeixiaokun    s_hptw_req := true.B
302*d0de7e4aSpeixiaokun    w_hptw_resp := true.B
303*d0de7e4aSpeixiaokun    s_last_hptw_req := true.B
304*d0de7e4aSpeixiaokun    w_last_hptw_resp := true.B
30544b79566SXiaokun-Pei  }
30644b79566SXiaokun-Pei
30744b79566SXiaokun-Pei
30844b79566SXiaokun-Pei  XSDebug(p"[ptw] level:${level} notFound:${pageFault}\n")
3096d5ddbceSLemover
3106d5ddbceSLemover  // perf
311935edac4STang Haojin  XSPerfAccumulate("fsm_count", io.req.fire)
3126d5ddbceSLemover  for (i <- 0 until PtwWidth) {
313935edac4STang Haojin    XSPerfAccumulate(s"fsm_count_source${i}", io.req.fire && io.req.bits.req_info.source === i.U)
3146d5ddbceSLemover  }
31544b79566SXiaokun-Pei  XSPerfAccumulate("fsm_busy", !idle)
31644b79566SXiaokun-Pei  XSPerfAccumulate("fsm_idle", idle)
3176d5ddbceSLemover  XSPerfAccumulate("resp_blocked", io.resp.valid && !io.resp.ready)
318dd7fe201SHaoyuan Feng  XSPerfAccumulate("ptw_ppn_af", io.resp.fire && ppn_af)
319935edac4STang Haojin  XSPerfAccumulate("mem_count", mem.req.fire)
320935edac4STang Haojin  XSPerfAccumulate("mem_cycle", BoolStopWatch(mem.req.fire, mem.resp.fire, true))
3216d5ddbceSLemover  XSPerfAccumulate("mem_blocked", mem.req.valid && !mem.req.ready)
322cc5a5f22SLemover
32344b79566SXiaokun-Pei  TimeOutAssert(!idle, timeOutThreshold, "page table walker time out")
324cd365d4cSrvcoresjw
325cd365d4cSrvcoresjw  val perfEvents = Seq(
326935edac4STang Haojin    ("fsm_count         ", io.req.fire                                     ),
32744b79566SXiaokun-Pei    ("fsm_busy          ", !idle                                             ),
32844b79566SXiaokun-Pei    ("fsm_idle          ", idle                                              ),
329cd365d4cSrvcoresjw    ("resp_blocked      ", io.resp.valid && !io.resp.ready                   ),
330935edac4STang Haojin    ("mem_count         ", mem.req.fire                                    ),
331935edac4STang Haojin    ("mem_cycle         ", BoolStopWatch(mem.req.fire, mem.resp.fire, true)),
332cd365d4cSrvcoresjw    ("mem_blocked       ", mem.req.valid && !mem.req.ready                   ),
333cd365d4cSrvcoresjw  )
3341ca0e4f3SYinan Xu  generatePerfEvent()
3356d5ddbceSLemover}
33692e3bfefSLemover
33792e3bfefSLemover/*========================= LLPTW ==============================*/
33892e3bfefSLemover
33992e3bfefSLemover/** LLPTW : Last Level Page Table Walker
34092e3bfefSLemover  * the page walker that only takes 4KB(last level) page walk.
34192e3bfefSLemover  **/
34292e3bfefSLemover
34392e3bfefSLemoverclass LLPTWInBundle(implicit p: Parameters) extends XSBundle with HasPtwConst {
34492e3bfefSLemover  val req_info = Output(new L2TlbInnerBundle())
345*d0de7e4aSpeixiaokun  val ppn = Output(if(HasHExtension) UInt(gvpnLen.W) else UInt(ppnLen.W))
34692e3bfefSLemover}
34792e3bfefSLemover
34892e3bfefSLemoverclass LLPTWIO(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
34992e3bfefSLemover  val in = Flipped(DecoupledIO(new LLPTWInBundle()))
35092e3bfefSLemover  val out = DecoupledIO(new Bundle {
35192e3bfefSLemover    val req_info = Output(new L2TlbInnerBundle())
35292e3bfefSLemover    val id = Output(UInt(bMemID.W))
353*d0de7e4aSpeixiaokun    val h_resp = Output(new HptwResp)
35492e3bfefSLemover    val af = Output(Bool())
35592e3bfefSLemover  })
35692e3bfefSLemover  val mem = new Bundle {
35792e3bfefSLemover    val req = DecoupledIO(new L2TlbMemReqBundle())
35892e3bfefSLemover    val resp = Flipped(Valid(new Bundle {
35992e3bfefSLemover      val id = Output(UInt(log2Up(l2tlbParams.llptwsize).W))
36092e3bfefSLemover    }))
36192e3bfefSLemover    val enq_ptr = Output(UInt(log2Ceil(l2tlbParams.llptwsize).W))
36292e3bfefSLemover    val buffer_it = Output(Vec(l2tlbParams.llptwsize, Bool()))
36392e3bfefSLemover    val refill = Output(new L2TlbInnerBundle())
36492e3bfefSLemover    val req_mask = Input(Vec(l2tlbParams.llptwsize, Bool()))
36592e3bfefSLemover  }
3667797f035SbugGenerator  val cache = DecoupledIO(new L2TlbInnerBundle())
36792e3bfefSLemover  val pmp = new Bundle {
36892e3bfefSLemover    val req = Valid(new PMPReqBundle())
36992e3bfefSLemover    val resp = Flipped(new PMPRespBundle())
37092e3bfefSLemover  }
371*d0de7e4aSpeixiaokun  val hptw = new Bundle {
372*d0de7e4aSpeixiaokun    val req = DecoupledIO(new Bundle{
373*d0de7e4aSpeixiaokun      val id = UInt(log2Up(l2tlbParams.llptwsize).W)
374*d0de7e4aSpeixiaokun      val gvpn = UInt(gvpnLen.W)
375*d0de7e4aSpeixiaokun    })
376*d0de7e4aSpeixiaokun    val resp = Flipped(Valid(new Bundle {
377*d0de7e4aSpeixiaokun      val id = Output(UInt(log2Up(l2tlbParams.llptwsize).W))
378*d0de7e4aSpeixiaokun      val h_resp = Output(new HptwResp)
379*d0de7e4aSpeixiaokun    }))
380*d0de7e4aSpeixiaokun  }
38192e3bfefSLemover}
38292e3bfefSLemover
38392e3bfefSLemoverclass LLPTWEntry(implicit p: Parameters) extends XSBundle with HasPtwConst {
38492e3bfefSLemover  val req_info = new L2TlbInnerBundle()
385*d0de7e4aSpeixiaokun  val s2xlate = Bool()
386*d0de7e4aSpeixiaokun  val gvpn = UInt(gvpnLen.W) // the vpn of guest address translation
38792e3bfefSLemover  val ppn = UInt(ppnLen.W)
38892e3bfefSLemover  val wait_id = UInt(log2Up(l2tlbParams.llptwsize).W)
38992e3bfefSLemover  val af = Bool()
390*d0de7e4aSpeixiaokun  val gaf = Bool()
391*d0de7e4aSpeixiaokun  val gpf = Bool()
39292e3bfefSLemover}
39392e3bfefSLemover
39492e3bfefSLemover
39592e3bfefSLemoverclass LLPTW(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents {
39692e3bfefSLemover  val io = IO(new LLPTWIO())
397*d0de7e4aSpeixiaokun  val enableS2xlate = io.in.bits.req_info.s2xlate(0)
398*d0de7e4aSpeixiaokun  val satp = Mux(enableS2xlate, io.csr.vsatp, io.csr.satp)
39992e3bfefSLemover
400*d0de7e4aSpeixiaokun  val flush = io.sfence.valid || satp.changed
40192e3bfefSLemover  val entries = Reg(Vec(l2tlbParams.llptwsize, new LLPTWEntry()))
402*d0de7e4aSpeixiaokun  val state_idle :: state_hptw_req :: state_hptw_resp :: state_addr_check :: state_mem_req :: state_mem_waiting :: state_mem_out :: state_last_hptw_req :: state_last_hptw_resp :: state_cache :: Nil = Enum(10)
40392e3bfefSLemover  val state = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(state_idle)))
4047797f035SbugGenerator
40592e3bfefSLemover  val is_emptys = state.map(_ === state_idle)
40692e3bfefSLemover  val is_mems = state.map(_ === state_mem_req)
40792e3bfefSLemover  val is_waiting = state.map(_ === state_mem_waiting)
40892e3bfefSLemover  val is_having = state.map(_ === state_mem_out)
4097797f035SbugGenerator  val is_cache = state.map(_ === state_cache)
410*d0de7e4aSpeixiaokun  val is_hptw_req = state.map(_ === state_hptw_req)
411*d0de7e4aSpeixiaokun  val is_last_hptw_req = state.map(_ === state_last_hptw_req)
41292e3bfefSLemover
413935edac4STang Haojin  val full = !ParallelOR(is_emptys).asBool
41492e3bfefSLemover  val enq_ptr = ParallelPriorityEncoder(is_emptys)
41592e3bfefSLemover
4167797f035SbugGenerator  val mem_ptr = ParallelPriorityEncoder(is_having) // TODO: optimize timing, bad: entries -> ptr -> entry
41792e3bfefSLemover  val mem_arb = Module(new RRArbiter(new LLPTWEntry(), l2tlbParams.llptwsize))
41892e3bfefSLemover  for (i <- 0 until l2tlbParams.llptwsize) {
41992e3bfefSLemover    mem_arb.io.in(i).bits := entries(i)
42092e3bfefSLemover    mem_arb.io.in(i).valid := is_mems(i) && !io.mem.req_mask(i)
42192e3bfefSLemover  }
422*d0de7e4aSpeixiaokun  val hyper_arb1 = Module(new RRArbiter(new LLPTWEntry(), l2tlbParams.llptwsize))
423*d0de7e4aSpeixiaokun  for (i <- 0 until l2tlbParams.llptwsize) {
424*d0de7e4aSpeixiaokun    hyper_arb1.io.in(i).bits := entries(i)
425*d0de7e4aSpeixiaokun    hyper_arb1.io.in(i).valid := is_hptw_req(i)
426*d0de7e4aSpeixiaokun  }
427*d0de7e4aSpeixiaokun  val hyper_arb2 = Module(new RRArbiter(new LLPTWEntry(), l2tlbParams.llptwsize))
428*d0de7e4aSpeixiaokun  for(i <- 0 until l2tlbParams.llptwsize) {
429*d0de7e4aSpeixiaokun    hyper_arb2.io.in(i).bits := entries(i)
430*d0de7e4aSpeixiaokun    hyper_arb2.io.in(i).valid := is_last_hptw_req(i)
431*d0de7e4aSpeixiaokun  }
43292e3bfefSLemover
433f3034303SHaoyuan Feng  val cache_ptr = ParallelMux(is_cache, (0 until l2tlbParams.llptwsize).map(_.U(log2Up(l2tlbParams.llptwsize).W)))
4347797f035SbugGenerator
43592e3bfefSLemover  // duplicate req
43692e3bfefSLemover  // to_wait: wait for the last to access mem, set to mem_resp
43792e3bfefSLemover  // to_cache: the last is back just right now, set to mem_cache
43892e3bfefSLemover  val dup_vec = state.indices.map(i =>
439*d0de7e4aSpeixiaokun    dup(io.in.bits.req_info.vpn, entries(i).req_info.vpn) && io.in.bits.req_info.hyperinst === entries(i).req_info.hyperinst
44092e3bfefSLemover  )
441*d0de7e4aSpeixiaokun  val dup_req_fire = mem_arb.io.out.fire && dup(io.in.bits.req_info.vpn, mem_arb.io.out.bits.req_info.vpn) && io.in.bits.req_info.hyperinst === entries(i).req_info.hyperinst // dup with the req fire entry
44292e3bfefSLemover  val dup_vec_wait = dup_vec.zip(is_waiting).map{case (d, w) => d && w} // dup with "mem_waiting" entres, sending mem req already
44392e3bfefSLemover  val dup_vec_having = dup_vec.zipWithIndex.map{case (d, i) => d && is_having(i)} // dup with the "mem_out" entry recv the data just now
44492e3bfefSLemover  val wait_id = Mux(dup_req_fire, mem_arb.io.chosen, ParallelMux(dup_vec_wait zip entries.map(_.wait_id)))
445935edac4STang Haojin  val dup_wait_resp = io.mem.resp.fire && VecInit(dup_vec_wait)(io.mem.resp.bits.id) // dup with the entry that data coming next cycle
44692e3bfefSLemover  val to_wait = Cat(dup_vec_wait).orR || dup_req_fire
44792e3bfefSLemover  val to_mem_out = dup_wait_resp
4487797f035SbugGenerator  val to_cache = Cat(dup_vec_having).orR
4497797f035SbugGenerator  XSError(RegNext(dup_req_fire && Cat(dup_vec_wait).orR, init = false.B), "mem req but some entries already waiting, should not happed")
45092e3bfefSLemover
451935edac4STang Haojin  XSError(io.in.fire && ((to_mem_out && to_cache) || (to_wait && to_cache)), "llptw enq, to cache conflict with to mem")
45292e3bfefSLemover  val mem_resp_hit = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(false.B)))
4537797f035SbugGenerator  val enq_state_normal = Mux(to_mem_out, state_mem_out, // same to the blew, but the mem resp now
4547797f035SbugGenerator    Mux(to_wait, state_mem_waiting,
4557797f035SbugGenerator    Mux(to_cache, state_cache, state_addr_check)))
4567797f035SbugGenerator  val enq_state = Mux(from_pre(io.in.bits.req_info.source) && enq_state_normal =/= state_addr_check, state_idle, enq_state_normal)
457935edac4STang Haojin  when (io.in.fire) {
45892e3bfefSLemover    // if prefetch req does not need mem access, just give it up.
45992e3bfefSLemover    // so there will be at most 1 + FilterSize entries that needs re-access page cache
46092e3bfefSLemover    // so 2 + FilterSize is enough to avoid dead-lock
4617797f035SbugGenerator    state(enq_ptr) := enq_state
46292e3bfefSLemover    entries(enq_ptr).req_info := io.in.bits.req_info
463*d0de7e4aSpeixiaokun    entries(enq_ptr).gvpn := io.in.bits.req_info.gvpn
46492e3bfefSLemover    entries(enq_ptr).ppn := io.in.bits.ppn
46592e3bfefSLemover    entries(enq_ptr).wait_id := Mux(to_wait, wait_id, enq_ptr)
46692e3bfefSLemover    entries(enq_ptr).af := false.B
467*d0de7e4aSpeixiaokun    entries(enq_ptr).gaf := false.B
468*d0de7e4aSpeixiaokun    entries(enq_ptr).gpf := false.B
469*d0de7e4aSpeixiaokun    entries(enq_ptr).s2xlate := enableS2xlate
47092e3bfefSLemover    mem_resp_hit(enq_ptr) := to_mem_out
47192e3bfefSLemover  }
4727797f035SbugGenerator
4737797f035SbugGenerator  val enq_ptr_reg = RegNext(enq_ptr)
474*d0de7e4aSpeixiaokun  val need_addr_check = RegNext(enq_state === state_addr_check && (io.in.fire() || io.hptw.resp.fire()) && !flush)
475*d0de7e4aSpeixiaokun
476*d0de7e4aSpeixiaokun  val gpaddr = MakeGAddr(io.in.bits.req_info.gvpn, getVpnn(io.in.bits.req_info.vpn, 0))
477*d0de7e4aSpeixiaokun  val hpaddr = Cat(io.in.bits.ppn, gpaddr(offLen-1, 0))
478*d0de7e4aSpeixiaokun
479*d0de7e4aSpeixiaokun  val addr = Mux(enableS2xlate, hpaddr, MakeAddr(io.in.bits.ppn, getVpnn(io.in.bits.req_info.vpn, 0)))
4807797f035SbugGenerator
4817797f035SbugGenerator  io.pmp.req.valid := need_addr_check
482*d0de7e4aSpeixiaokun  io.pmp.req.bits.addr := RegEnable(addr, io.in.fire)
4837797f035SbugGenerator  io.pmp.req.bits.cmd := TlbCmd.read
4847797f035SbugGenerator  io.pmp.req.bits.size := 3.U // TODO: fix it
4857797f035SbugGenerator  val pmp_resp_valid = io.pmp.req.valid // same cycle
4867797f035SbugGenerator  when (pmp_resp_valid) {
4877797f035SbugGenerator    // NOTE: when pmp resp but state is not addr check, then the entry is dup with other entry, the state was changed before
4887797f035SbugGenerator    //       when dup with the req-ing entry, set to mem_waiting (above codes), and the ld must be false, so dontcare
4897797f035SbugGenerator    val accessFault = io.pmp.resp.ld || io.pmp.resp.mmio
4907797f035SbugGenerator    entries(enq_ptr_reg).af := accessFault
4917797f035SbugGenerator    state(enq_ptr_reg) := Mux(accessFault, state_mem_out, state_mem_req)
4927797f035SbugGenerator  }
4937797f035SbugGenerator
494935edac4STang Haojin  when (mem_arb.io.out.fire) {
49592e3bfefSLemover    for (i <- state.indices) {
49692e3bfefSLemover      when (state(i) =/= state_idle && dup(entries(i).req_info.vpn, mem_arb.io.out.bits.req_info.vpn)) {
49792e3bfefSLemover        // NOTE: "dup enq set state to mem_wait" -> "sending req set other dup entries to mem_wait"
49892e3bfefSLemover        state(i) := state_mem_waiting
49992e3bfefSLemover        entries(i).wait_id := mem_arb.io.chosen
50092e3bfefSLemover      }
50192e3bfefSLemover    }
50292e3bfefSLemover  }
503935edac4STang Haojin  when (io.mem.resp.fire) {
50492e3bfefSLemover    state.indices.map{i =>
50592e3bfefSLemover      when (state(i) === state_mem_waiting && io.mem.resp.bits.id === entries(i).wait_id) {
506*d0de7e4aSpeixiaokun        state(i) := Mux(entries(i).s2xlate, state_last_hptw_req, state_mem_out)
50792e3bfefSLemover        mem_resp_hit(i) := true.B
50892e3bfefSLemover      }
50992e3bfefSLemover    }
51092e3bfefSLemover  }
511*d0de7e4aSpeixiaokun
512*d0de7e4aSpeixiaokun  when (hyper_arb1.io.out.fire()) {
513*d0de7e4aSpeixiaokun    for (i <- state.indices) {
514*d0de7e4aSpeixiaokun      when (state(i) === state_hptw_req && entries(i).ppn === hyper_arb1.io.out.bits.ppn && entries(i).s2xlate) {
515*d0de7e4aSpeixiaokun        state(i) := state_hptw_resp
516*d0de7e4aSpeixiaokun        entries(i).wait_id := hyper_arb1.io.chosen
517*d0de7e4aSpeixiaokun      }
518*d0de7e4aSpeixiaokun    }
519*d0de7e4aSpeixiaokun  }
520*d0de7e4aSpeixiaokun
521*d0de7e4aSpeixiaokun  when (hyper_arb2.io.out.fire()) {
522*d0de7e4aSpeixiaokun    for (i <- state.indices) {
523*d0de7e4aSpeixiaokun      when (state(i) === state_last_hptw_req && entries(i).ppn === hyper_arb2.io.out.bits.ppn && entries(i).s2xlate) {
524*d0de7e4aSpeixiaokun        state(i) := state_last_hptw_resp
525*d0de7e4aSpeixiaokun        entries(i).wait_id := hyper_arb2.io.chosen
526*d0de7e4aSpeixiaokun      }
527*d0de7e4aSpeixiaokun    }
528*d0de7e4aSpeixiaokun  }
529*d0de7e4aSpeixiaokun
530*d0de7e4aSpeixiaokun  when (io.hptw.resp.fire()) {
531*d0de7e4aSpeixiaokun    for (i <- state.indices) {
532*d0de7e4aSpeixiaokun      when (state(i) === state_hptw_resp && io.hptw.resp.bits.id === entries(i).wait_id) {
533*d0de7e4aSpeixiaokun        state(i) := state_addr_check
534*d0de7e4aSpeixiaokun        entries(i).gpf := io.hptw.resp.bits.h_resp.gpf
535*d0de7e4aSpeixiaokun        entries(i).gaf := io.hptw.resp.bits.h_resp.gaf
536*d0de7e4aSpeixiaokun      }
537*d0de7e4aSpeixiaokun      when (state(i) === state_last_hptw_resp && io.hptw.resp.bits.id === entries(i).wait_id) {
538*d0de7e4aSpeixiaokun        state(i) := state_mem_out
539*d0de7e4aSpeixiaokun        entries(i).gpf := io.hptw.resp.bits.h_resp.gpf
540*d0de7e4aSpeixiaokun        entries(i).gaf := io.hptw.resp.bits.h_resp.gaf
541*d0de7e4aSpeixiaokun      }
542*d0de7e4aSpeixiaokun    }
543*d0de7e4aSpeixiaokun  }
544*d0de7e4aSpeixiaokun
545935edac4STang Haojin  when (io.out.fire) {
54692e3bfefSLemover    assert(state(mem_ptr) === state_mem_out)
54792e3bfefSLemover    state(mem_ptr) := state_idle
54892e3bfefSLemover  }
54992e3bfefSLemover  mem_resp_hit.map(a => when (a) { a := false.B } )
55092e3bfefSLemover
5517797f035SbugGenerator  when (io.cache.fire) {
5527797f035SbugGenerator    state(cache_ptr) := state_idle
55392e3bfefSLemover  }
5547797f035SbugGenerator  XSError(io.out.fire && io.cache.fire && (mem_ptr === cache_ptr), "mem resp and cache fire at the same time at same entry")
55592e3bfefSLemover
55692e3bfefSLemover  when (flush) {
55792e3bfefSLemover    state.map(_ := state_idle)
55892e3bfefSLemover  }
55992e3bfefSLemover
56092e3bfefSLemover  io.in.ready := !full
56192e3bfefSLemover
562935edac4STang Haojin  io.out.valid := ParallelOR(is_having).asBool
56392e3bfefSLemover  io.out.bits.req_info := entries(mem_ptr).req_info
56492e3bfefSLemover  io.out.bits.id := mem_ptr
56592e3bfefSLemover  io.out.bits.af := entries(mem_ptr).af
566*d0de7e4aSpeixiaokun  io.out.bits.h_resp := io.hptw.resp.bits.h_resp
567*d0de7e4aSpeixiaokun
568*d0de7e4aSpeixiaokun  io.hptw.req.valid := (hyper_arb1.io.out.valid || hyper_arb2.io.out.valid) && !flush
569*d0de7e4aSpeixiaokun  io.hptw.req.bits.gvpn := Mux(hyper_arb1.io.out.valid, hyper_arb1.io.out.bits.gvpn, hyper_arb2.io.out.bits.gvpn)
570*d0de7e4aSpeixiaokun  io.hptw.req.bits.id := Mux(hyper_arb1.io.out.valid, hyper_arb1.io.chosen, hyper_arb2.io.chosen)
571*d0de7e4aSpeixiaokun  hyper_arb1.io.out.ready := io.hptw.req.ready
572*d0de7e4aSpeixiaokun  hyper_arb2.io.out.ready := io.hptw.req.ready
57392e3bfefSLemover
57492e3bfefSLemover  io.mem.req.valid := mem_arb.io.out.valid && !flush
57592e3bfefSLemover  io.mem.req.bits.addr := MakeAddr(mem_arb.io.out.bits.ppn, getVpnn(mem_arb.io.out.bits.req_info.vpn, 0))
57692e3bfefSLemover  io.mem.req.bits.id := mem_arb.io.chosen
57792e3bfefSLemover  mem_arb.io.out.ready := io.mem.req.ready
57892e3bfefSLemover  io.mem.refill := entries(RegNext(io.mem.resp.bits.id(log2Up(l2tlbParams.llptwsize)-1, 0))).req_info
57992e3bfefSLemover  io.mem.buffer_it := mem_resp_hit
58092e3bfefSLemover  io.mem.enq_ptr := enq_ptr
58192e3bfefSLemover
5827797f035SbugGenerator  io.cache.valid := Cat(is_cache).orR
5837797f035SbugGenerator  io.cache.bits := ParallelMux(is_cache, entries.map(_.req_info))
5847797f035SbugGenerator
585935edac4STang Haojin  XSPerfAccumulate("llptw_in_count", io.in.fire)
58692e3bfefSLemover  XSPerfAccumulate("llptw_in_block", io.in.valid && !io.in.ready)
58792e3bfefSLemover  for (i <- 0 until 7) {
588935edac4STang Haojin    XSPerfAccumulate(s"enq_state${i}", io.in.fire && enq_state === i.U)
58992e3bfefSLemover  }
59092e3bfefSLemover  for (i <- 0 until (l2tlbParams.llptwsize + 1)) {
59192e3bfefSLemover    XSPerfAccumulate(s"util${i}", PopCount(is_emptys.map(!_)) === i.U)
59292e3bfefSLemover    XSPerfAccumulate(s"mem_util${i}", PopCount(is_mems) === i.U)
59392e3bfefSLemover    XSPerfAccumulate(s"waiting_util${i}", PopCount(is_waiting) === i.U)
59492e3bfefSLemover  }
595935edac4STang Haojin  XSPerfAccumulate("mem_count", io.mem.req.fire)
59692e3bfefSLemover  XSPerfAccumulate("mem_cycle", PopCount(is_waiting) =/= 0.U)
59792e3bfefSLemover  XSPerfAccumulate("blocked_in", io.in.valid && !io.in.ready)
59892e3bfefSLemover
59992e3bfefSLemover  for (i <- 0 until l2tlbParams.llptwsize) {
60092e3bfefSLemover    TimeOutAssert(state(i) =/= state_idle, timeOutThreshold, s"missqueue time out no out ${i}")
60192e3bfefSLemover  }
60292e3bfefSLemover
60392e3bfefSLemover  val perfEvents = Seq(
604935edac4STang Haojin    ("tlbllptw_incount           ", io.in.fire               ),
60592e3bfefSLemover    ("tlbllptw_inblock           ", io.in.valid && !io.in.ready),
606935edac4STang Haojin    ("tlbllptw_memcount          ", io.mem.req.fire          ),
60792e3bfefSLemover    ("tlbllptw_memcycle          ", PopCount(is_waiting)       ),
60892e3bfefSLemover  )
60992e3bfefSLemover  generatePerfEvent()
61092e3bfefSLemover}
611*d0de7e4aSpeixiaokun
612*d0de7e4aSpeixiaokun/*========================= HPTW ==============================*/
613*d0de7e4aSpeixiaokun
614*d0de7e4aSpeixiaokun/** HPTW : Hypervisor Page Table Walker
615*d0de7e4aSpeixiaokun  * the page walker take the virtual machine's page walk.
616*d0de7e4aSpeixiaokun  * guest physical address translation, guest physical address -> host physical address
617*d0de7e4aSpeixiaokun  **/
618*d0de7e4aSpeixiaokunclass HPTWIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
619*d0de7e4aSpeixiaokun  val req = Flipped(DecoupledIO(new Bundle {
620*d0de7e4aSpeixiaokun    val id = UInt(log2Up(l2tlbParams.llptwsize).W)
621*d0de7e4aSpeixiaokun    val gvpn = UInt(gvpnLen.W)
622*d0de7e4aSpeixiaokun    val l1Hit = Bool()
623*d0de7e4aSpeixiaokun    val l2Hit = Bool()
624*d0de7e4aSpeixiaokun    val ppn = UInt(ppnLen.W)
625*d0de7e4aSpeixiaokun  }))
626*d0de7e4aSpeixiaokun  val resp = Valid(new Bundle {
627*d0de7e4aSpeixiaokun    val resp = Output(new HptwResp())
628*d0de7e4aSpeixiaokun    val id = Output(UInt(bMemID.W))
629*d0de7e4aSpeixiaokun  })
630*d0de7e4aSpeixiaokun
631*d0de7e4aSpeixiaokun  val mem = new Bundle {
632*d0de7e4aSpeixiaokun    val req = DecoupledIO(new L2TlbMemReqBundle())
633*d0de7e4aSpeixiaokun    val resp = Flipped(ValidIO(UInt(XLEN.W)))
634*d0de7e4aSpeixiaokun    val mask = Input(Bool())
635*d0de7e4aSpeixiaokun  }
636*d0de7e4aSpeixiaokun  val refill = Output(new Bundle {
637*d0de7e4aSpeixiaokun    val req_info = new L2TlbInnerBundle()
638*d0de7e4aSpeixiaokun    val level = UInt(log2Up(Level).W)
639*d0de7e4aSpeixiaokun  })
640*d0de7e4aSpeixiaokun  val pmp = new Bundle {
641*d0de7e4aSpeixiaokun    val req = ValidIO(new PMPReqBundle())
642*d0de7e4aSpeixiaokun    val resp = Flipped(new PMPRespBundle())
643*d0de7e4aSpeixiaokun  }
644*d0de7e4aSpeixiaokun}
645*d0de7e4aSpeixiaokun
646*d0de7e4aSpeixiaokun@chiselName
647*d0de7e4aSpeixiaokunclass HPTW()(implicit p: Parameters) extends XSModule with HasPtwConst {
648*d0de7e4aSpeixiaokun  val io = IO(new HPTWIO)
649*d0de7e4aSpeixiaokun  val hgatp = io.csr.hgatp
650*d0de7e4aSpeixiaokun  val sfence = io.sfence
651*d0de7e4aSpeixiaokun  val flush = sfence.valid || hgatp.changed
652*d0de7e4aSpeixiaokun
653*d0de7e4aSpeixiaokun  val level = RegInit(0.U(log2Up(Level).W))
654*d0de7e4aSpeixiaokun  val gpaddr = Reg(UInt(GPAddrBits.W))
655*d0de7e4aSpeixiaokun  val vpn = gpaddr(GPAddrBits-1, offLen)
656*d0de7e4aSpeixiaokun  val levelNext = level + 1.U
657*d0de7e4aSpeixiaokun  val l1Hit = Reg(Bool())
658*d0de7e4aSpeixiaokun  val l2Hit = Reg(Bool())
659*d0de7e4aSpeixiaokun  val ppn = Reg(UInt(ppnLen.W))
660*d0de7e4aSpeixiaokun  val pg_base = MakeAddr(hgatp.ppn, getGVpnn(vpn, 2.U))
661*d0de7e4aSpeixiaokun//  val pte = io.mem.resp.bits.MergeRespToPte()
662*d0de7e4aSpeixiaokun  val pte = io.mem.resp.bits.asTypeOf(new PteBundle().cloneType)
663*d0de7e4aSpeixiaokun  val p_pte = MakeAddr(ppn, getVpnn(vpn, 2.U - level))
664*d0de7e4aSpeixiaokun  val mem_addr = Mux(level === 0.U, pg_base, p_pte)
665*d0de7e4aSpeixiaokun
666*d0de7e4aSpeixiaokun  //s/w register
667*d0de7e4aSpeixiaokun  val s_pmp_check = RegInit(true.B)
668*d0de7e4aSpeixiaokun  val s_mem_req = RegInit(true.B)
669*d0de7e4aSpeixiaokun  val w_mem_resp = RegInit(true.B)
670*d0de7e4aSpeixiaokun  val mem_addr_update = RegInit(true.B)
671*d0de7e4aSpeixiaokun  val idle = RegInit(true.B)
672*d0de7e4aSpeixiaokun  val finish = WireInit(false.B)
673*d0de7e4aSpeixiaokun
674*d0de7e4aSpeixiaokun  val sent_to_pmp = !idle && (!s_pmp_check || mem_addr_update) && !finish
675*d0de7e4aSpeixiaokun  val pageFault = pte.isPf(level)
676*d0de7e4aSpeixiaokun  val accessFault = RegEnable(io.pmp.resp.ld || io.pmp.resp.mmio, sent_to_pmp)
677*d0de7e4aSpeixiaokun
678*d0de7e4aSpeixiaokun  val ppn_af = pte.isAf()
679*d0de7e4aSpeixiaokun  val find_pte = pte.isLeaf() || ppn_af || pageFault
680*d0de7e4aSpeixiaokun
681*d0de7e4aSpeixiaokun  val resp_valid = !idle && mem_addr_update && ((w_mem_resp && find_pte) || (s_pmp_check && accessFault))
682*d0de7e4aSpeixiaokun  val id = Reg(UInt(log2Up(l2tlbParams.llptwsize).W))
683*d0de7e4aSpeixiaokun  io.req.ready := idle
684*d0de7e4aSpeixiaokun  val resp = new HptwResp()
685*d0de7e4aSpeixiaokun  resp.apply(pageFault && !accessFault && !ppn_af, accessFault || ppn_af, level, pte, vpn, hgatp.asid)
686*d0de7e4aSpeixiaokun  io.resp.valid := resp_valid
687*d0de7e4aSpeixiaokun  io.resp.bits.id := id
688*d0de7e4aSpeixiaokun  io.resp.bits.resp := resp
689*d0de7e4aSpeixiaokun
690*d0de7e4aSpeixiaokun  io.pmp.req.valid := DontCare
691*d0de7e4aSpeixiaokun  io.pmp.req.bits.addr := mem_addr
692*d0de7e4aSpeixiaokun  io.pmp.req.bits.size := 3.U
693*d0de7e4aSpeixiaokun  io.pmp.req.bits.cmd := TlbCmd.read
694*d0de7e4aSpeixiaokun
695*d0de7e4aSpeixiaokun  io.mem.req.valid := !s_mem_req && !io.mem.mask && !accessFault && s_pmp_check
696*d0de7e4aSpeixiaokun  io.mem.req.bits.addr := mem_addr
697*d0de7e4aSpeixiaokun  io.mem.req.bits.id := HptwReqId.U(bMemID.W)
698*d0de7e4aSpeixiaokun
699*d0de7e4aSpeixiaokun  io.refill.req_info.gvpn := vpn
700*d0de7e4aSpeixiaokun  io.refill.level := level
701*d0de7e4aSpeixiaokun  when (idle){
702*d0de7e4aSpeixiaokun    when(io.req.fire()){
703*d0de7e4aSpeixiaokun      level := Mux(io.req.bits.l2Hit, 2.U, Mux(io.req.bits.l1Hit, 1.U, 0.U))
704*d0de7e4aSpeixiaokun      idle := false.B
705*d0de7e4aSpeixiaokun      gpaddr := Cat(io.req.bits.gvpn, 0.U(offLen.W))
706*d0de7e4aSpeixiaokun      accessFault := false.B
707*d0de7e4aSpeixiaokun      s_pmp_check := false.B
708*d0de7e4aSpeixiaokun      id := io.req.bits.id
709*d0de7e4aSpeixiaokun      l1Hit := io.req.bits.l1Hit
710*d0de7e4aSpeixiaokun      l2Hit := io.req.bits.l2Hit
711*d0de7e4aSpeixiaokun      ppn := io.req.bits.ppn
712*d0de7e4aSpeixiaokun    }
713*d0de7e4aSpeixiaokun  }
714*d0de7e4aSpeixiaokun
715*d0de7e4aSpeixiaokun  when(sent_to_pmp && !mem_addr_update){
716*d0de7e4aSpeixiaokun    s_mem_req := false.B
717*d0de7e4aSpeixiaokun    s_pmp_check := true.B
718*d0de7e4aSpeixiaokun  }
719*d0de7e4aSpeixiaokun
720*d0de7e4aSpeixiaokun  when(accessFault && !idle){
721*d0de7e4aSpeixiaokun    s_pmp_check := true.B
722*d0de7e4aSpeixiaokun    s_mem_req := true.B
723*d0de7e4aSpeixiaokun    w_mem_resp := true.B
724*d0de7e4aSpeixiaokun    mem_addr_update := true.B
725*d0de7e4aSpeixiaokun  }
726*d0de7e4aSpeixiaokun
727*d0de7e4aSpeixiaokun  when(io.mem.req.fire()){
728*d0de7e4aSpeixiaokun    s_mem_req := true.B
729*d0de7e4aSpeixiaokun    w_mem_resp := false.B
730*d0de7e4aSpeixiaokun  }
731*d0de7e4aSpeixiaokun
732*d0de7e4aSpeixiaokun  when(io.mem.resp.fire() && !w_mem_resp){
733*d0de7e4aSpeixiaokun    ppn := pte.ppn
734*d0de7e4aSpeixiaokun    w_mem_resp := true.B
735*d0de7e4aSpeixiaokun    mem_addr_update := true.B
736*d0de7e4aSpeixiaokun  }
737*d0de7e4aSpeixiaokun
738*d0de7e4aSpeixiaokun  when(mem_addr_update){
739*d0de7e4aSpeixiaokun    when(!(find_pte || accessFault)){
740*d0de7e4aSpeixiaokun      level := levelNext
741*d0de7e4aSpeixiaokun      s_mem_req := false.B
742*d0de7e4aSpeixiaokun      mem_addr_update := false.B
743*d0de7e4aSpeixiaokun    }.elsewhen(resp_valid){
744*d0de7e4aSpeixiaokun      when(io.resp.fire()){
745*d0de7e4aSpeixiaokun        idle := true.B
746*d0de7e4aSpeixiaokun        mem_addr_update := false.B
747*d0de7e4aSpeixiaokun        accessFault := false.B
748*d0de7e4aSpeixiaokun      }
749*d0de7e4aSpeixiaokun      finish := true.B
750*d0de7e4aSpeixiaokun    }
751*d0de7e4aSpeixiaokun  }
752*d0de7e4aSpeixiaokun}