16d5ddbceSLemover/*************************************************************************************** 26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 46d5ddbceSLemover* 56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 86d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 96d5ddbceSLemover* 106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 136d5ddbceSLemover* 146d5ddbceSLemover* See the Mulan PSL v2 for more details. 156d5ddbceSLemover***************************************************************************************/ 166d5ddbceSLemover 176d5ddbceSLemoverpackage xiangshan.cache.mmu 186d5ddbceSLemover 196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters 206d5ddbceSLemoverimport chisel3._ 216d5ddbceSLemoverimport chisel3.util._ 22b848eea5SLemoverimport chisel3.internal.naming.chiselName 236d5ddbceSLemoverimport xiangshan._ 246d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 256d5ddbceSLemoverimport utils._ 266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 276d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 286d5ddbceSLemover 296d5ddbceSLemover/* ptw finite state machine, the actual page table walker 306d5ddbceSLemover */ 316d5ddbceSLemoverclass PtwFsmIO()(implicit p: Parameters) extends PtwBundle { 326d5ddbceSLemover val req = Flipped(DecoupledIO(new Bundle { 336d5ddbceSLemover val source = UInt(bPtwWidth.W) 346d5ddbceSLemover val l1Hit = Bool() 356d5ddbceSLemover val vpn = UInt(vpnLen.W) 366d5ddbceSLemover val ppn = UInt(ppnLen.W) 376d5ddbceSLemover })) 386d5ddbceSLemover val resp = DecoupledIO(new Bundle { 396d5ddbceSLemover val source = UInt(bPtwWidth.W) 406d5ddbceSLemover val resp = new PtwResp 416d5ddbceSLemover }) 426d5ddbceSLemover 43*cc5a5f22SLemover val mq = DecoupledIO(new L2TlbMQInBundle()) 44*cc5a5f22SLemover 456d5ddbceSLemover val mem = new Bundle { 46b848eea5SLemover val req = DecoupledIO(new L2TlbMemReqBundle()) 475854c1edSLemover val resp = Flipped(ValidIO(UInt(XLEN.W))) 48*cc5a5f22SLemover val mask = Input(Bool()) 496d5ddbceSLemover } 506d5ddbceSLemover 516d5ddbceSLemover val csr = Input(new TlbCsrBundle) 526d5ddbceSLemover val sfence = Input(new SfenceBundle) 536d5ddbceSLemover val refill = Output(new Bundle { 546d5ddbceSLemover val vpn = UInt(vpnLen.W) 556d5ddbceSLemover val level = UInt(log2Up(Level).W) 566d5ddbceSLemover }) 576d5ddbceSLemover} 586d5ddbceSLemover 59b848eea5SLemover@chiselName 606d5ddbceSLemoverclass PtwFsm()(implicit p: Parameters) extends XSModule with HasPtwConst { 616d5ddbceSLemover val io = IO(new PtwFsmIO) 626d5ddbceSLemover 636d5ddbceSLemover val sfence = io.sfence 646d5ddbceSLemover val mem = io.mem 656d5ddbceSLemover val satp = io.csr.satp 666d5ddbceSLemover 67*cc5a5f22SLemover val s_idle :: s_mem_req :: s_mem_resp :: s_check_pte :: Nil = Enum(4) 686d5ddbceSLemover val state = RegInit(s_idle) 696d5ddbceSLemover val level = RegInit(0.U(log2Up(Level).W)) 706d5ddbceSLemover val ppn = Reg(UInt(ppnLen.W)) 716d5ddbceSLemover val vpn = Reg(UInt(vpnLen.W)) 726d5ddbceSLemover val levelNext = level + 1.U 736d5ddbceSLemover val l1Hit = Reg(Bool()) 745854c1edSLemover val memPte = mem.resp.bits.asTypeOf(new PteBundle().cloneType) 75*cc5a5f22SLemover io.req.ready := state === s_idle 766d5ddbceSLemover 77*cc5a5f22SLemover val pageFault = WireInit(false.B) 786d5ddbceSLemover switch (state) { 796d5ddbceSLemover is (s_idle) { 806d5ddbceSLemover when (io.req.fire()) { 816d5ddbceSLemover val req = io.req.bits 826d5ddbceSLemover state := s_mem_req 83*cc5a5f22SLemover level := Mux(req.l1Hit, 1.U, 0.U) 84*cc5a5f22SLemover ppn := Mux(req.l1Hit, io.req.bits.ppn, satp.ppn) 856d5ddbceSLemover vpn := io.req.bits.vpn 866d5ddbceSLemover l1Hit := req.l1Hit 876d5ddbceSLemover } 886d5ddbceSLemover } 896d5ddbceSLemover 906d5ddbceSLemover is (s_mem_req) { 916d5ddbceSLemover when (mem.req.fire()) { 926d5ddbceSLemover state := s_mem_resp 936d5ddbceSLemover } 946d5ddbceSLemover } 956d5ddbceSLemover 966d5ddbceSLemover is (s_mem_resp) { 976d5ddbceSLemover when(mem.resp.fire()) { 98*cc5a5f22SLemover state := s_check_pte 996d5ddbceSLemover } 1006d5ddbceSLemover } 1016d5ddbceSLemover 102*cc5a5f22SLemover is (s_check_pte) { 103*cc5a5f22SLemover when (memPte.isLeaf() || memPte.isPf(level)) { 1046d5ddbceSLemover when (io.resp.fire()) { 1056d5ddbceSLemover state := s_idle 1066d5ddbceSLemover } 107*cc5a5f22SLemover pageFault := memPte.isPf(level) 108*cc5a5f22SLemover }.otherwise { 109*cc5a5f22SLemover when (level =/= (Level-2).U) { // when level is 1.U, finish 110*cc5a5f22SLemover level := levelNext 111*cc5a5f22SLemover state := s_mem_req 112*cc5a5f22SLemover }.otherwise { 113*cc5a5f22SLemover when (io.mq.fire()) { 114*cc5a5f22SLemover state := s_idle 115*cc5a5f22SLemover } 116*cc5a5f22SLemover } 117*cc5a5f22SLemover } 1186d5ddbceSLemover } 1196d5ddbceSLemover } 1206d5ddbceSLemover 1216d5ddbceSLemover when (sfence.valid) { 1226d5ddbceSLemover state := s_idle 1236d5ddbceSLemover } 1246d5ddbceSLemover 125*cc5a5f22SLemover val is_pte = memPte.isLeaf() || memPte.isPf(level) 126*cc5a5f22SLemover val find_pte = is_pte 127*cc5a5f22SLemover val to_find_pte = level === 1.U && !is_pte 128*cc5a5f22SLemover val source = RegEnable(io.req.bits.source, io.req.fire()) 129*cc5a5f22SLemover io.resp.valid := state === s_check_pte && find_pte 130*cc5a5f22SLemover io.resp.bits.source := source 131*cc5a5f22SLemover io.resp.bits.resp.apply(pageFault, level, memPte, vpn) 132*cc5a5f22SLemover 133*cc5a5f22SLemover io.mq.valid := state === s_check_pte && to_find_pte 134*cc5a5f22SLemover io.mq.bits.source := source 135*cc5a5f22SLemover io.mq.bits.vpn := vpn 136*cc5a5f22SLemover io.mq.bits.l3.valid := true.B 137*cc5a5f22SLemover io.mq.bits.l3.bits := memPte.ppn 138*cc5a5f22SLemover 139*cc5a5f22SLemover assert(level =/= 2.U || level =/= 3.U) 1406d5ddbceSLemover 1416d5ddbceSLemover val l1addr = MakeAddr(satp.ppn, getVpnn(vpn, 2)) 142*cc5a5f22SLemover val l2addr = MakeAddr(Mux(l1Hit, ppn, memPte.ppn), getVpnn(vpn, 1)) 143*cc5a5f22SLemover mem.req.valid := state === s_mem_req && !io.mem.mask 144*cc5a5f22SLemover mem.req.bits.addr := Mux(level === 0.U, l1addr, l2addr) 145*cc5a5f22SLemover mem.req.bits.id := MSHRSize.U(bMemID.W) 1466d5ddbceSLemover 1476d5ddbceSLemover io.refill.vpn := vpn 1486d5ddbceSLemover io.refill.level := level 1496d5ddbceSLemover 150*cc5a5f22SLemover XSDebug(p"[fsm] state:${state} level:${level} notFound:${pageFault}\n") 1516d5ddbceSLemover 1526d5ddbceSLemover // perf 1536d5ddbceSLemover XSPerfAccumulate("fsm_count", io.req.fire()) 1546d5ddbceSLemover for (i <- 0 until PtwWidth) { 1556d5ddbceSLemover XSPerfAccumulate(s"fsm_count_source${i}", io.req.fire() && io.req.bits.source === i.U) 1566d5ddbceSLemover } 1576d5ddbceSLemover XSPerfAccumulate("fsm_busy", state =/= s_idle) 1586d5ddbceSLemover XSPerfAccumulate("fsm_idle", state === s_idle) 1596d5ddbceSLemover XSPerfAccumulate("resp_blocked", io.resp.valid && !io.resp.ready) 1606d5ddbceSLemover XSPerfAccumulate("mem_count", mem.req.fire()) 1616d5ddbceSLemover XSPerfAccumulate("mem_cycle", BoolStopWatch(mem.req.fire, mem.resp.fire(), true)) 1626d5ddbceSLemover XSPerfAccumulate("mem_blocked", mem.req.valid && !mem.req.ready) 163*cc5a5f22SLemover 164*cc5a5f22SLemover // time out assert 165*cc5a5f22SLemover val wait_counter = RegInit(0.U(32.W)) 166*cc5a5f22SLemover when (state =/= s_idle) { 167*cc5a5f22SLemover wait_counter := wait_counter + 1.U 168*cc5a5f22SLemover }.otherwise { 169*cc5a5f22SLemover wait_counter := 0.U 170*cc5a5f22SLemover } 171*cc5a5f22SLemover assert(wait_counter <= 2000.U, "page table walker time out") 1726d5ddbceSLemover} 173