16d5ddbceSLemover/*************************************************************************************** 26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 46d5ddbceSLemover* 56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 86d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 96d5ddbceSLemover* 106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 136d5ddbceSLemover* 146d5ddbceSLemover* See the Mulan PSL v2 for more details. 156d5ddbceSLemover***************************************************************************************/ 166d5ddbceSLemover 176d5ddbceSLemoverpackage xiangshan.cache.mmu 186d5ddbceSLemover 196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters 206d5ddbceSLemoverimport chisel3._ 216d5ddbceSLemoverimport chisel3.util._ 226d5ddbceSLemoverimport xiangshan._ 236d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 246d5ddbceSLemoverimport utils._ 253c02ee8fSwakafaimport utility._ 266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 276d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 28b6982e83SLemoverimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle} 296d5ddbceSLemover 3092e3bfefSLemover/** Page Table Walk is divided into two parts 3192e3bfefSLemover * One, PTW: page walk for pde, except for leaf entries, one by one 3292e3bfefSLemover * Two, LLPTW: page walk for pte, only the leaf entries(4KB), in parallel 336d5ddbceSLemover */ 3492e3bfefSLemover 3592e3bfefSLemover 3692e3bfefSLemover/** PTW : page table walker 3792e3bfefSLemover * a finite state machine 3892e3bfefSLemover * only take 1GB and 2MB page walks 3992e3bfefSLemover * or in other words, except the last level(leaf) 4092e3bfefSLemover **/ 4192e3bfefSLemoverclass PTWIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst { 426d5ddbceSLemover val req = Flipped(DecoupledIO(new Bundle { 4345f497a4Shappy-lx val req_info = new L2TlbInnerBundle() 446d5ddbceSLemover val l1Hit = Bool() 456d5ddbceSLemover val ppn = UInt(ppnLen.W) 466d5ddbceSLemover })) 476d5ddbceSLemover val resp = DecoupledIO(new Bundle { 48bc063562SLemover val source = UInt(bSourceWidth.W) 4963632028SHaoyuan Feng val resp = new PtwMergeResp 506d5ddbceSLemover }) 516d5ddbceSLemover 5292e3bfefSLemover val llptw = DecoupledIO(new LLPTWInBundle()) 539c503409SLemover // NOTE: llptw change from "connect to llptw" to "connect to page cache" 549c503409SLemover // to avoid corner case that caused duplicate entries 55cc5a5f22SLemover 566d5ddbceSLemover val mem = new Bundle { 57b848eea5SLemover val req = DecoupledIO(new L2TlbMemReqBundle()) 585854c1edSLemover val resp = Flipped(ValidIO(UInt(XLEN.W))) 59cc5a5f22SLemover val mask = Input(Bool()) 606d5ddbceSLemover } 61b6982e83SLemover val pmp = new Bundle { 62b6982e83SLemover val req = ValidIO(new PMPReqBundle()) 63b6982e83SLemover val resp = Flipped(new PMPRespBundle()) 64b6982e83SLemover } 656d5ddbceSLemover 666d5ddbceSLemover val refill = Output(new Bundle { 6745f497a4Shappy-lx val req_info = new L2TlbInnerBundle() 686d5ddbceSLemover val level = UInt(log2Up(Level).W) 696d5ddbceSLemover }) 706d5ddbceSLemover} 716d5ddbceSLemover 7292e3bfefSLemoverclass PTW()(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents { 7392e3bfefSLemover val io = IO(new PTWIO) 746d5ddbceSLemover val sfence = io.sfence 756d5ddbceSLemover val mem = io.mem 766d5ddbceSLemover val satp = io.csr.satp 7745f497a4Shappy-lx val flush = io.sfence.valid || io.csr.satp.changed 786d5ddbceSLemover 796d5ddbceSLemover val level = RegInit(0.U(log2Up(Level).W)) 80b6982e83SLemover val af_level = RegInit(0.U(log2Up(Level).W)) // access fault return this level 816d5ddbceSLemover val ppn = Reg(UInt(ppnLen.W)) 826d5ddbceSLemover val vpn = Reg(UInt(vpnLen.W)) 836d5ddbceSLemover val levelNext = level + 1.U 846d5ddbceSLemover val l1Hit = Reg(Bool()) 855854c1edSLemover val memPte = mem.resp.bits.asTypeOf(new PteBundle().cloneType) 866d5ddbceSLemover 8744b79566SXiaokun-Pei // s/w register 8844b79566SXiaokun-Pei val s_pmp_check = RegInit(true.B) 8944b79566SXiaokun-Pei val s_mem_req = RegInit(true.B) 9044b79566SXiaokun-Pei val s_llptw_req = RegInit(true.B) 9144b79566SXiaokun-Pei val w_mem_resp = RegInit(true.B) 9244b79566SXiaokun-Pei // for updating "level" 9344b79566SXiaokun-Pei val mem_addr_update = RegInit(false.B) 9444b79566SXiaokun-Pei 9544b79566SXiaokun-Pei val idle = RegInit(true.B) 962a906a65SHaoyuan Feng val finish = WireInit(false.B) 972a906a65SHaoyuan Feng val sent_to_pmp = idle === false.B && (s_pmp_check === false.B || mem_addr_update) && !finish 9844b79566SXiaokun-Pei 99b6982e83SLemover val pageFault = memPte.isPf(level) 10044b79566SXiaokun-Pei val accessFault = RegEnable(io.pmp.resp.ld || io.pmp.resp.mmio, sent_to_pmp) 1016d5ddbceSLemover 1020d94d540SHaoyuan Feng val ppn_af = memPte.isAf() 1030d94d540SHaoyuan Feng val find_pte = memPte.isLeaf() || ppn_af || pageFault 10444b79566SXiaokun-Pei val to_find_pte = level === 1.U && find_pte === false.B 105*935edac4STang Haojin val source = RegEnable(io.req.bits.req_info.source, io.req.fire) 1066d5ddbceSLemover 1076d5ddbceSLemover val l1addr = MakeAddr(satp.ppn, getVpnn(vpn, 2)) 108cc5a5f22SLemover val l2addr = MakeAddr(Mux(l1Hit, ppn, memPte.ppn), getVpnn(vpn, 1)) 109b6982e83SLemover val mem_addr = Mux(af_level === 0.U, l1addr, l2addr) 11044b79566SXiaokun-Pei 11144b79566SXiaokun-Pei io.req.ready := idle 11244b79566SXiaokun-Pei 11344b79566SXiaokun-Pei io.resp.valid := idle === false.B && mem_addr_update && ((w_mem_resp && find_pte) || (s_pmp_check && accessFault)) 11444b79566SXiaokun-Pei io.resp.bits.source := source 11563632028SHaoyuan Feng io.resp.bits.resp.apply(pageFault && !accessFault && !ppn_af, accessFault || ppn_af, Mux(accessFault, af_level,level), memPte, vpn, satp.asid, vpn(sectortlbwidth - 1, 0), not_super = false) 11644b79566SXiaokun-Pei 11744b79566SXiaokun-Pei io.llptw.valid := s_llptw_req === false.B && to_find_pte && !accessFault 11844b79566SXiaokun-Pei io.llptw.bits.req_info.source := source 11944b79566SXiaokun-Pei io.llptw.bits.req_info.vpn := vpn 12044b79566SXiaokun-Pei io.llptw.bits.ppn := memPte.ppn 12144b79566SXiaokun-Pei 122b6982e83SLemover io.pmp.req.valid := DontCare // samecycle, do not use valid 123b6982e83SLemover io.pmp.req.bits.addr := mem_addr 124b6982e83SLemover io.pmp.req.bits.size := 3.U // TODO: fix it 125b6982e83SLemover io.pmp.req.bits.cmd := TlbCmd.read 126b6982e83SLemover 12744b79566SXiaokun-Pei mem.req.valid := s_mem_req === false.B && !mem.mask && !accessFault && s_pmp_check 128b6982e83SLemover mem.req.bits.addr := mem_addr 129bc063562SLemover mem.req.bits.id := FsmReqID.U(bMemID.W) 1306d5ddbceSLemover 13145f497a4Shappy-lx io.refill.req_info.vpn := vpn 1326d5ddbceSLemover io.refill.level := level 13345f497a4Shappy-lx io.refill.req_info.source := source 1346d5ddbceSLemover 135*935edac4STang Haojin when (io.req.fire){ 13644b79566SXiaokun-Pei val req = io.req.bits 13744b79566SXiaokun-Pei level := Mux(req.l1Hit, 1.U, 0.U) 13844b79566SXiaokun-Pei af_level := Mux(req.l1Hit, 1.U, 0.U) 13944b79566SXiaokun-Pei ppn := Mux(req.l1Hit, io.req.bits.ppn, satp.ppn) 14044b79566SXiaokun-Pei vpn := io.req.bits.req_info.vpn 14144b79566SXiaokun-Pei l1Hit := req.l1Hit 14244b79566SXiaokun-Pei accessFault := false.B 14344b79566SXiaokun-Pei s_pmp_check := false.B 14444b79566SXiaokun-Pei idle := false.B 14544b79566SXiaokun-Pei } 14644b79566SXiaokun-Pei 14744b79566SXiaokun-Pei when(sent_to_pmp && mem_addr_update === false.B){ 14844b79566SXiaokun-Pei s_mem_req := false.B 14944b79566SXiaokun-Pei s_pmp_check := true.B 15044b79566SXiaokun-Pei } 15144b79566SXiaokun-Pei 15244b79566SXiaokun-Pei when(accessFault && idle === false.B){ 15344b79566SXiaokun-Pei s_pmp_check := true.B 15444b79566SXiaokun-Pei s_mem_req := true.B 15544b79566SXiaokun-Pei w_mem_resp := true.B 15644b79566SXiaokun-Pei s_llptw_req := true.B 15744b79566SXiaokun-Pei mem_addr_update := true.B 15844b79566SXiaokun-Pei } 15944b79566SXiaokun-Pei 160*935edac4STang Haojin when (mem.req.fire){ 16144b79566SXiaokun-Pei s_mem_req := true.B 16244b79566SXiaokun-Pei w_mem_resp := false.B 16344b79566SXiaokun-Pei } 16444b79566SXiaokun-Pei 165*935edac4STang Haojin when(mem.resp.fire && w_mem_resp === false.B){ 16644b79566SXiaokun-Pei w_mem_resp := true.B 16744b79566SXiaokun-Pei af_level := af_level + 1.U 16844b79566SXiaokun-Pei s_llptw_req := false.B 16944b79566SXiaokun-Pei mem_addr_update := true.B 17044b79566SXiaokun-Pei } 17144b79566SXiaokun-Pei 17244b79566SXiaokun-Pei when(mem_addr_update){ 17344b79566SXiaokun-Pei when(level === 0.U && !(find_pte || accessFault)){ 17444b79566SXiaokun-Pei level := levelNext 17544b79566SXiaokun-Pei s_mem_req := false.B 17644b79566SXiaokun-Pei s_llptw_req := true.B 17744b79566SXiaokun-Pei mem_addr_update := false.B 1782a906a65SHaoyuan Feng }.elsewhen(io.llptw.valid){ 179*935edac4STang Haojin when(io.llptw.fire) { 18044b79566SXiaokun-Pei idle := true.B 18144b79566SXiaokun-Pei s_llptw_req := true.B 18244b79566SXiaokun-Pei mem_addr_update := false.B 1832a906a65SHaoyuan Feng } 1842a906a65SHaoyuan Feng finish := true.B 1852a906a65SHaoyuan Feng }.elsewhen(io.resp.valid){ 186*935edac4STang Haojin when(io.resp.fire) { 18744b79566SXiaokun-Pei idle := true.B 18844b79566SXiaokun-Pei s_llptw_req := true.B 18944b79566SXiaokun-Pei mem_addr_update := false.B 19044b79566SXiaokun-Pei accessFault := false.B 19144b79566SXiaokun-Pei } 1922a906a65SHaoyuan Feng finish := true.B 1932a906a65SHaoyuan Feng } 19444b79566SXiaokun-Pei } 19544b79566SXiaokun-Pei 19644b79566SXiaokun-Pei 19744b79566SXiaokun-Pei when (sfence.valid) { 19844b79566SXiaokun-Pei idle := true.B 19944b79566SXiaokun-Pei s_pmp_check := true.B 20044b79566SXiaokun-Pei s_mem_req := true.B 20144b79566SXiaokun-Pei s_llptw_req := true.B 20244b79566SXiaokun-Pei w_mem_resp := true.B 20344b79566SXiaokun-Pei accessFault := false.B 204d826bce1SHaoyuan Feng mem_addr_update := false.B 20544b79566SXiaokun-Pei } 20644b79566SXiaokun-Pei 20744b79566SXiaokun-Pei 20844b79566SXiaokun-Pei XSDebug(p"[ptw] level:${level} notFound:${pageFault}\n") 2096d5ddbceSLemover 2106d5ddbceSLemover // perf 211*935edac4STang Haojin XSPerfAccumulate("fsm_count", io.req.fire) 2126d5ddbceSLemover for (i <- 0 until PtwWidth) { 213*935edac4STang Haojin XSPerfAccumulate(s"fsm_count_source${i}", io.req.fire && io.req.bits.req_info.source === i.U) 2146d5ddbceSLemover } 21544b79566SXiaokun-Pei XSPerfAccumulate("fsm_busy", !idle) 21644b79566SXiaokun-Pei XSPerfAccumulate("fsm_idle", idle) 2176d5ddbceSLemover XSPerfAccumulate("resp_blocked", io.resp.valid && !io.resp.ready) 218dd7fe201SHaoyuan Feng XSPerfAccumulate("ptw_ppn_af", io.resp.fire && ppn_af) 219*935edac4STang Haojin XSPerfAccumulate("mem_count", mem.req.fire) 220*935edac4STang Haojin XSPerfAccumulate("mem_cycle", BoolStopWatch(mem.req.fire, mem.resp.fire, true)) 2216d5ddbceSLemover XSPerfAccumulate("mem_blocked", mem.req.valid && !mem.req.ready) 222cc5a5f22SLemover 22344b79566SXiaokun-Pei TimeOutAssert(!idle, timeOutThreshold, "page table walker time out") 224cd365d4cSrvcoresjw 225cd365d4cSrvcoresjw val perfEvents = Seq( 226*935edac4STang Haojin ("fsm_count ", io.req.fire ), 22744b79566SXiaokun-Pei ("fsm_busy ", !idle ), 22844b79566SXiaokun-Pei ("fsm_idle ", idle ), 229cd365d4cSrvcoresjw ("resp_blocked ", io.resp.valid && !io.resp.ready ), 230*935edac4STang Haojin ("mem_count ", mem.req.fire ), 231*935edac4STang Haojin ("mem_cycle ", BoolStopWatch(mem.req.fire, mem.resp.fire, true)), 232cd365d4cSrvcoresjw ("mem_blocked ", mem.req.valid && !mem.req.ready ), 233cd365d4cSrvcoresjw ) 2341ca0e4f3SYinan Xu generatePerfEvent() 2356d5ddbceSLemover} 23692e3bfefSLemover 23792e3bfefSLemover/*========================= LLPTW ==============================*/ 23892e3bfefSLemover 23992e3bfefSLemover/** LLPTW : Last Level Page Table Walker 24092e3bfefSLemover * the page walker that only takes 4KB(last level) page walk. 24192e3bfefSLemover **/ 24292e3bfefSLemover 24392e3bfefSLemoverclass LLPTWInBundle(implicit p: Parameters) extends XSBundle with HasPtwConst { 24492e3bfefSLemover val req_info = Output(new L2TlbInnerBundle()) 24592e3bfefSLemover val ppn = Output(UInt(PAddrBits.W)) 24692e3bfefSLemover} 24792e3bfefSLemover 24892e3bfefSLemoverclass LLPTWIO(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst { 24992e3bfefSLemover val in = Flipped(DecoupledIO(new LLPTWInBundle())) 25092e3bfefSLemover val out = DecoupledIO(new Bundle { 25192e3bfefSLemover val req_info = Output(new L2TlbInnerBundle()) 25292e3bfefSLemover val id = Output(UInt(bMemID.W)) 25392e3bfefSLemover val af = Output(Bool()) 25492e3bfefSLemover }) 25592e3bfefSLemover val mem = new Bundle { 25692e3bfefSLemover val req = DecoupledIO(new L2TlbMemReqBundle()) 25792e3bfefSLemover val resp = Flipped(Valid(new Bundle { 25892e3bfefSLemover val id = Output(UInt(log2Up(l2tlbParams.llptwsize).W)) 25992e3bfefSLemover })) 26092e3bfefSLemover val enq_ptr = Output(UInt(log2Ceil(l2tlbParams.llptwsize).W)) 26192e3bfefSLemover val buffer_it = Output(Vec(l2tlbParams.llptwsize, Bool())) 26292e3bfefSLemover val refill = Output(new L2TlbInnerBundle()) 26392e3bfefSLemover val req_mask = Input(Vec(l2tlbParams.llptwsize, Bool())) 26492e3bfefSLemover } 2657797f035SbugGenerator val cache = DecoupledIO(new L2TlbInnerBundle()) 26692e3bfefSLemover val pmp = new Bundle { 26792e3bfefSLemover val req = Valid(new PMPReqBundle()) 26892e3bfefSLemover val resp = Flipped(new PMPRespBundle()) 26992e3bfefSLemover } 27092e3bfefSLemover} 27192e3bfefSLemover 27292e3bfefSLemoverclass LLPTWEntry(implicit p: Parameters) extends XSBundle with HasPtwConst { 27392e3bfefSLemover val req_info = new L2TlbInnerBundle() 27492e3bfefSLemover val ppn = UInt(ppnLen.W) 27592e3bfefSLemover val wait_id = UInt(log2Up(l2tlbParams.llptwsize).W) 27692e3bfefSLemover val af = Bool() 27792e3bfefSLemover} 27892e3bfefSLemover 27992e3bfefSLemover 28092e3bfefSLemoverclass LLPTW(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents { 28192e3bfefSLemover val io = IO(new LLPTWIO()) 28292e3bfefSLemover 2837797f035SbugGenerator val flush = io.sfence.valid || io.csr.satp.changed 28492e3bfefSLemover val entries = Reg(Vec(l2tlbParams.llptwsize, new LLPTWEntry())) 2857797f035SbugGenerator val state_idle :: state_addr_check :: state_mem_req :: state_mem_waiting :: state_mem_out :: state_cache :: Nil = Enum(6) 28692e3bfefSLemover val state = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(state_idle))) 2877797f035SbugGenerator 28892e3bfefSLemover val is_emptys = state.map(_ === state_idle) 28992e3bfefSLemover val is_mems = state.map(_ === state_mem_req) 29092e3bfefSLemover val is_waiting = state.map(_ === state_mem_waiting) 29192e3bfefSLemover val is_having = state.map(_ === state_mem_out) 2927797f035SbugGenerator val is_cache = state.map(_ === state_cache) 29392e3bfefSLemover 294*935edac4STang Haojin val full = !ParallelOR(is_emptys).asBool 29592e3bfefSLemover val enq_ptr = ParallelPriorityEncoder(is_emptys) 29692e3bfefSLemover 2977797f035SbugGenerator val mem_ptr = ParallelPriorityEncoder(is_having) // TODO: optimize timing, bad: entries -> ptr -> entry 29892e3bfefSLemover val mem_arb = Module(new RRArbiter(new LLPTWEntry(), l2tlbParams.llptwsize)) 29992e3bfefSLemover for (i <- 0 until l2tlbParams.llptwsize) { 30092e3bfefSLemover mem_arb.io.in(i).bits := entries(i) 30192e3bfefSLemover mem_arb.io.in(i).valid := is_mems(i) && !io.mem.req_mask(i) 30292e3bfefSLemover } 30392e3bfefSLemover 304f3034303SHaoyuan Feng val cache_ptr = ParallelMux(is_cache, (0 until l2tlbParams.llptwsize).map(_.U(log2Up(l2tlbParams.llptwsize).W))) 3057797f035SbugGenerator 30692e3bfefSLemover // duplicate req 30792e3bfefSLemover // to_wait: wait for the last to access mem, set to mem_resp 30892e3bfefSLemover // to_cache: the last is back just right now, set to mem_cache 30992e3bfefSLemover val dup_vec = state.indices.map(i => 31092e3bfefSLemover dup(io.in.bits.req_info.vpn, entries(i).req_info.vpn) 31192e3bfefSLemover ) 312*935edac4STang Haojin val dup_req_fire = mem_arb.io.out.fire && dup(io.in.bits.req_info.vpn, mem_arb.io.out.bits.req_info.vpn) // dup with the req fire entry 31392e3bfefSLemover val dup_vec_wait = dup_vec.zip(is_waiting).map{case (d, w) => d && w} // dup with "mem_waiting" entres, sending mem req already 31492e3bfefSLemover val dup_vec_having = dup_vec.zipWithIndex.map{case (d, i) => d && is_having(i)} // dup with the "mem_out" entry recv the data just now 31592e3bfefSLemover val wait_id = Mux(dup_req_fire, mem_arb.io.chosen, ParallelMux(dup_vec_wait zip entries.map(_.wait_id))) 316*935edac4STang Haojin val dup_wait_resp = io.mem.resp.fire && VecInit(dup_vec_wait)(io.mem.resp.bits.id) // dup with the entry that data coming next cycle 31792e3bfefSLemover val to_wait = Cat(dup_vec_wait).orR || dup_req_fire 31892e3bfefSLemover val to_mem_out = dup_wait_resp 3197797f035SbugGenerator val to_cache = Cat(dup_vec_having).orR 3207797f035SbugGenerator XSError(RegNext(dup_req_fire && Cat(dup_vec_wait).orR, init = false.B), "mem req but some entries already waiting, should not happed") 32192e3bfefSLemover 322*935edac4STang Haojin XSError(io.in.fire && ((to_mem_out && to_cache) || (to_wait && to_cache)), "llptw enq, to cache conflict with to mem") 32392e3bfefSLemover val mem_resp_hit = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(false.B))) 3247797f035SbugGenerator val enq_state_normal = Mux(to_mem_out, state_mem_out, // same to the blew, but the mem resp now 3257797f035SbugGenerator Mux(to_wait, state_mem_waiting, 3267797f035SbugGenerator Mux(to_cache, state_cache, state_addr_check))) 3277797f035SbugGenerator val enq_state = Mux(from_pre(io.in.bits.req_info.source) && enq_state_normal =/= state_addr_check, state_idle, enq_state_normal) 328*935edac4STang Haojin when (io.in.fire) { 32992e3bfefSLemover // if prefetch req does not need mem access, just give it up. 33092e3bfefSLemover // so there will be at most 1 + FilterSize entries that needs re-access page cache 33192e3bfefSLemover // so 2 + FilterSize is enough to avoid dead-lock 3327797f035SbugGenerator state(enq_ptr) := enq_state 33392e3bfefSLemover entries(enq_ptr).req_info := io.in.bits.req_info 33492e3bfefSLemover entries(enq_ptr).ppn := io.in.bits.ppn 33592e3bfefSLemover entries(enq_ptr).wait_id := Mux(to_wait, wait_id, enq_ptr) 33692e3bfefSLemover entries(enq_ptr).af := false.B 33792e3bfefSLemover mem_resp_hit(enq_ptr) := to_mem_out 33892e3bfefSLemover } 3397797f035SbugGenerator 3407797f035SbugGenerator val enq_ptr_reg = RegNext(enq_ptr) 341*935edac4STang Haojin val need_addr_check = RegNext(enq_state === state_addr_check && io.in.fire && !flush) 342*935edac4STang Haojin val last_enq_vpn = RegEnable(io.in.bits.req_info.vpn, io.in.fire) 3437797f035SbugGenerator 3447797f035SbugGenerator io.pmp.req.valid := need_addr_check 345*935edac4STang Haojin io.pmp.req.bits.addr := RegEnable(MakeAddr(io.in.bits.ppn, getVpnn(io.in.bits.req_info.vpn, 0)), io.in.fire) 3467797f035SbugGenerator io.pmp.req.bits.cmd := TlbCmd.read 3477797f035SbugGenerator io.pmp.req.bits.size := 3.U // TODO: fix it 3487797f035SbugGenerator val pmp_resp_valid = io.pmp.req.valid // same cycle 3497797f035SbugGenerator when (pmp_resp_valid) { 3507797f035SbugGenerator // NOTE: when pmp resp but state is not addr check, then the entry is dup with other entry, the state was changed before 3517797f035SbugGenerator // when dup with the req-ing entry, set to mem_waiting (above codes), and the ld must be false, so dontcare 3527797f035SbugGenerator val accessFault = io.pmp.resp.ld || io.pmp.resp.mmio 3537797f035SbugGenerator entries(enq_ptr_reg).af := accessFault 3547797f035SbugGenerator state(enq_ptr_reg) := Mux(accessFault, state_mem_out, state_mem_req) 3557797f035SbugGenerator } 3567797f035SbugGenerator 357*935edac4STang Haojin when (mem_arb.io.out.fire) { 35892e3bfefSLemover for (i <- state.indices) { 35992e3bfefSLemover when (state(i) =/= state_idle && dup(entries(i).req_info.vpn, mem_arb.io.out.bits.req_info.vpn)) { 36092e3bfefSLemover // NOTE: "dup enq set state to mem_wait" -> "sending req set other dup entries to mem_wait" 36192e3bfefSLemover state(i) := state_mem_waiting 36292e3bfefSLemover entries(i).wait_id := mem_arb.io.chosen 36392e3bfefSLemover } 36492e3bfefSLemover } 36592e3bfefSLemover } 366*935edac4STang Haojin when (io.mem.resp.fire) { 36792e3bfefSLemover state.indices.map{i => 36892e3bfefSLemover when (state(i) === state_mem_waiting && io.mem.resp.bits.id === entries(i).wait_id) { 36992e3bfefSLemover state(i) := state_mem_out 37092e3bfefSLemover mem_resp_hit(i) := true.B 37192e3bfefSLemover } 37292e3bfefSLemover } 37392e3bfefSLemover } 374*935edac4STang Haojin when (io.out.fire) { 37592e3bfefSLemover assert(state(mem_ptr) === state_mem_out) 37692e3bfefSLemover state(mem_ptr) := state_idle 37792e3bfefSLemover } 37892e3bfefSLemover mem_resp_hit.map(a => when (a) { a := false.B } ) 37992e3bfefSLemover 3807797f035SbugGenerator when (io.cache.fire) { 3817797f035SbugGenerator state(cache_ptr) := state_idle 38292e3bfefSLemover } 3837797f035SbugGenerator XSError(io.out.fire && io.cache.fire && (mem_ptr === cache_ptr), "mem resp and cache fire at the same time at same entry") 38492e3bfefSLemover 38592e3bfefSLemover when (flush) { 38692e3bfefSLemover state.map(_ := state_idle) 38792e3bfefSLemover } 38892e3bfefSLemover 38992e3bfefSLemover io.in.ready := !full 39092e3bfefSLemover 391*935edac4STang Haojin io.out.valid := ParallelOR(is_having).asBool 39292e3bfefSLemover io.out.bits.req_info := entries(mem_ptr).req_info 39392e3bfefSLemover io.out.bits.id := mem_ptr 39492e3bfefSLemover io.out.bits.af := entries(mem_ptr).af 39592e3bfefSLemover 39692e3bfefSLemover io.mem.req.valid := mem_arb.io.out.valid && !flush 39792e3bfefSLemover io.mem.req.bits.addr := MakeAddr(mem_arb.io.out.bits.ppn, getVpnn(mem_arb.io.out.bits.req_info.vpn, 0)) 39892e3bfefSLemover io.mem.req.bits.id := mem_arb.io.chosen 39992e3bfefSLemover mem_arb.io.out.ready := io.mem.req.ready 40092e3bfefSLemover io.mem.refill := entries(RegNext(io.mem.resp.bits.id(log2Up(l2tlbParams.llptwsize)-1, 0))).req_info 40192e3bfefSLemover io.mem.buffer_it := mem_resp_hit 40292e3bfefSLemover io.mem.enq_ptr := enq_ptr 40392e3bfefSLemover 4047797f035SbugGenerator io.cache.valid := Cat(is_cache).orR 4057797f035SbugGenerator io.cache.bits := ParallelMux(is_cache, entries.map(_.req_info)) 4067797f035SbugGenerator 407*935edac4STang Haojin XSPerfAccumulate("llptw_in_count", io.in.fire) 40892e3bfefSLemover XSPerfAccumulate("llptw_in_block", io.in.valid && !io.in.ready) 40992e3bfefSLemover for (i <- 0 until 7) { 410*935edac4STang Haojin XSPerfAccumulate(s"enq_state${i}", io.in.fire && enq_state === i.U) 41192e3bfefSLemover } 41292e3bfefSLemover for (i <- 0 until (l2tlbParams.llptwsize + 1)) { 41392e3bfefSLemover XSPerfAccumulate(s"util${i}", PopCount(is_emptys.map(!_)) === i.U) 41492e3bfefSLemover XSPerfAccumulate(s"mem_util${i}", PopCount(is_mems) === i.U) 41592e3bfefSLemover XSPerfAccumulate(s"waiting_util${i}", PopCount(is_waiting) === i.U) 41692e3bfefSLemover } 417*935edac4STang Haojin XSPerfAccumulate("mem_count", io.mem.req.fire) 41892e3bfefSLemover XSPerfAccumulate("mem_cycle", PopCount(is_waiting) =/= 0.U) 41992e3bfefSLemover XSPerfAccumulate("blocked_in", io.in.valid && !io.in.ready) 42092e3bfefSLemover 42192e3bfefSLemover for (i <- 0 until l2tlbParams.llptwsize) { 42292e3bfefSLemover TimeOutAssert(state(i) =/= state_idle, timeOutThreshold, s"missqueue time out no out ${i}") 42392e3bfefSLemover } 42492e3bfefSLemover 42592e3bfefSLemover val perfEvents = Seq( 426*935edac4STang Haojin ("tlbllptw_incount ", io.in.fire ), 42792e3bfefSLemover ("tlbllptw_inblock ", io.in.valid && !io.in.ready), 428*935edac4STang Haojin ("tlbllptw_memcount ", io.mem.req.fire ), 42992e3bfefSLemover ("tlbllptw_memcycle ", PopCount(is_waiting) ), 43092e3bfefSLemover ) 43192e3bfefSLemover generatePerfEvent() 43292e3bfefSLemover} 433