xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/PageTableWalker.scala (revision 1ca0e4f33f402f31daec0e57d270079d2db13562)
16d5ddbceSLemover/***************************************************************************************
26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
46d5ddbceSLemover*
56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2.
66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at:
86d5ddbceSLemover*          http://license.coscl.org.cn/MulanPSL2
96d5ddbceSLemover*
106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
136d5ddbceSLemover*
146d5ddbceSLemover* See the Mulan PSL v2 for more details.
156d5ddbceSLemover***************************************************************************************/
166d5ddbceSLemover
176d5ddbceSLemoverpackage xiangshan.cache.mmu
186d5ddbceSLemover
196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters
206d5ddbceSLemoverimport chisel3._
216d5ddbceSLemoverimport chisel3.util._
22b848eea5SLemoverimport chisel3.internal.naming.chiselName
236d5ddbceSLemoverimport xiangshan._
246d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
256d5ddbceSLemoverimport utils._
266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
276d5ddbceSLemoverimport freechips.rocketchip.tilelink._
28b6982e83SLemoverimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
296d5ddbceSLemover
306d5ddbceSLemover/* ptw finite state machine, the actual page table walker
316d5ddbceSLemover */
3245f497a4Shappy-lxclass PtwFsmIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
336d5ddbceSLemover  val req = Flipped(DecoupledIO(new Bundle {
3445f497a4Shappy-lx    val req_info = new L2TlbInnerBundle()
356d5ddbceSLemover    val l1Hit = Bool()
366d5ddbceSLemover    val ppn = UInt(ppnLen.W)
376d5ddbceSLemover  }))
386d5ddbceSLemover  val resp = DecoupledIO(new Bundle {
39bc063562SLemover    val source = UInt(bSourceWidth.W)
406d5ddbceSLemover    val resp = new PtwResp
416d5ddbceSLemover  })
426d5ddbceSLemover
43cc5a5f22SLemover  val mq = DecoupledIO(new L2TlbMQInBundle())
44cc5a5f22SLemover
456d5ddbceSLemover  val mem = new Bundle {
46b848eea5SLemover    val req = DecoupledIO(new L2TlbMemReqBundle())
475854c1edSLemover    val resp = Flipped(ValidIO(UInt(XLEN.W)))
48cc5a5f22SLemover    val mask = Input(Bool())
496d5ddbceSLemover  }
50b6982e83SLemover  val pmp = new Bundle {
51b6982e83SLemover    val req = ValidIO(new PMPReqBundle())
52b6982e83SLemover    val resp = Flipped(new PMPRespBundle())
53b6982e83SLemover  }
546d5ddbceSLemover
556d5ddbceSLemover  val refill = Output(new Bundle {
5645f497a4Shappy-lx    val req_info = new L2TlbInnerBundle()
576d5ddbceSLemover    val level = UInt(log2Up(Level).W)
586d5ddbceSLemover  })
596d5ddbceSLemover}
606d5ddbceSLemover
61b848eea5SLemover@chiselName
62*1ca0e4f3SYinan Xuclass PtwFsm()(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents {
636d5ddbceSLemover  val io = IO(new PtwFsmIO)
646d5ddbceSLemover
656d5ddbceSLemover  val sfence = io.sfence
666d5ddbceSLemover  val mem = io.mem
676d5ddbceSLemover  val satp = io.csr.satp
6845f497a4Shappy-lx  val flush = io.sfence.valid || io.csr.satp.changed
696d5ddbceSLemover
70b6982e83SLemover  val s_idle :: s_addr_check :: s_mem_req :: s_mem_resp :: s_check_pte :: Nil = Enum(5)
716d5ddbceSLemover  val state = RegInit(s_idle)
726d5ddbceSLemover  val level = RegInit(0.U(log2Up(Level).W))
73b6982e83SLemover  val af_level = RegInit(0.U(log2Up(Level).W)) // access fault return this level
746d5ddbceSLemover  val ppn = Reg(UInt(ppnLen.W))
756d5ddbceSLemover  val vpn = Reg(UInt(vpnLen.W))
766d5ddbceSLemover  val levelNext = level + 1.U
776d5ddbceSLemover  val l1Hit = Reg(Bool())
785854c1edSLemover  val memPte = mem.resp.bits.asTypeOf(new PteBundle().cloneType)
79cc5a5f22SLemover  io.req.ready := state === s_idle
806d5ddbceSLemover
81b6982e83SLemover  val finish = WireInit(false.B)
82b6982e83SLemover  val sent_to_pmp = state === s_addr_check || (state === s_check_pte && !finish)
83ca2f90a6SLemover  val accessFault = RegEnable(io.pmp.resp.ld || io.pmp.resp.mmio, sent_to_pmp)
84b6982e83SLemover  val pageFault = memPte.isPf(level)
856d5ddbceSLemover  switch (state) {
866d5ddbceSLemover    is (s_idle) {
876d5ddbceSLemover      when (io.req.fire()) {
886d5ddbceSLemover        val req = io.req.bits
89b6982e83SLemover        state := s_addr_check
90cc5a5f22SLemover        level := Mux(req.l1Hit, 1.U, 0.U)
91b6982e83SLemover        af_level := Mux(req.l1Hit, 1.U, 0.U)
92cc5a5f22SLemover        ppn := Mux(req.l1Hit, io.req.bits.ppn, satp.ppn)
9345f497a4Shappy-lx        vpn := io.req.bits.req_info.vpn
946d5ddbceSLemover        l1Hit := req.l1Hit
95b6982e83SLemover        accessFault := false.B
966d5ddbceSLemover      }
976d5ddbceSLemover    }
986d5ddbceSLemover
99b6982e83SLemover    is (s_addr_check) {
100b6982e83SLemover      state := s_mem_req
101b6982e83SLemover    }
102b6982e83SLemover
1036d5ddbceSLemover    is (s_mem_req) {
1046d5ddbceSLemover      when (mem.req.fire()) {
1056d5ddbceSLemover        state := s_mem_resp
1066d5ddbceSLemover      }
107b6982e83SLemover      when (accessFault) {
108b6982e83SLemover        state := s_check_pte
109b6982e83SLemover      }
1106d5ddbceSLemover    }
1116d5ddbceSLemover
1126d5ddbceSLemover    is (s_mem_resp) {
1136d5ddbceSLemover      when(mem.resp.fire()) {
114cc5a5f22SLemover        state := s_check_pte
115b6982e83SLemover        af_level := af_level + 1.U
1166d5ddbceSLemover      }
1176d5ddbceSLemover    }
1186d5ddbceSLemover
119cc5a5f22SLemover    is (s_check_pte) {
1202b773508SZhangZifei      when (io.resp.valid) { // find pte already or accessFault (mentioned below)
1216d5ddbceSLemover        when (io.resp.fire()) {
1226d5ddbceSLemover          state := s_idle
1236d5ddbceSLemover        }
124b6982e83SLemover        finish := true.B
1252b773508SZhangZifei      }.elsewhen(io.mq.valid) { // the next level is pte, go to miss queue
126cc5a5f22SLemover        when (io.mq.fire()) {
127cc5a5f22SLemover          state := s_idle
128cc5a5f22SLemover        }
129b6982e83SLemover        finish := true.B
1302b773508SZhangZifei      } otherwise { // go to next level, access the memory, need pmp check first
1312b773508SZhangZifei        when (io.pmp.resp.ld) { // pmp check failed, raise access-fault
1322b773508SZhangZifei          // do nothing, RegNext the pmp check result and do it later (mentioned above)
1332b773508SZhangZifei        }.otherwise { // go to next level.
1342b773508SZhangZifei          assert(level === 0.U)
135b6982e83SLemover          level := levelNext
136b6982e83SLemover          state := s_mem_req
137cc5a5f22SLemover        }
138cc5a5f22SLemover      }
1396d5ddbceSLemover    }
1406d5ddbceSLemover  }
1416d5ddbceSLemover
1426d5ddbceSLemover  when (sfence.valid) {
1436d5ddbceSLemover    state := s_idle
144b6982e83SLemover    accessFault := false.B
1456d5ddbceSLemover  }
1466d5ddbceSLemover
147b6982e83SLemover  // memPte is valid when at s_check_pte. when mem.resp.fire, it's not ready.
148cc5a5f22SLemover  val is_pte = memPte.isLeaf() || memPte.isPf(level)
149cc5a5f22SLemover  val find_pte = is_pte
150cc5a5f22SLemover  val to_find_pte = level === 1.U && !is_pte
15145f497a4Shappy-lx  val source = RegEnable(io.req.bits.req_info.source, io.req.fire())
152b6982e83SLemover  io.resp.valid := state === s_check_pte && (find_pte || accessFault)
153cc5a5f22SLemover  io.resp.bits.source := source
15445f497a4Shappy-lx  io.resp.bits.resp.apply(pageFault && !accessFault, accessFault, Mux(accessFault, af_level, level), memPte, vpn, satp.asid)
155cc5a5f22SLemover
156b6982e83SLemover  io.mq.valid := state === s_check_pte && to_find_pte && !accessFault
15745f497a4Shappy-lx  io.mq.bits.req_info.source := source
15845f497a4Shappy-lx  io.mq.bits.req_info.vpn := vpn
159cc5a5f22SLemover  io.mq.bits.l3.valid := true.B
160cc5a5f22SLemover  io.mq.bits.l3.bits := memPte.ppn
161cc5a5f22SLemover
162cc5a5f22SLemover  assert(level =/= 2.U || level =/= 3.U)
1636d5ddbceSLemover
1646d5ddbceSLemover  val l1addr = MakeAddr(satp.ppn, getVpnn(vpn, 2))
165cc5a5f22SLemover  val l2addr = MakeAddr(Mux(l1Hit, ppn, memPte.ppn), getVpnn(vpn, 1))
166b6982e83SLemover  val mem_addr = Mux(af_level === 0.U, l1addr, l2addr)
167b6982e83SLemover  io.pmp.req.valid := DontCare // samecycle, do not use valid
168b6982e83SLemover  io.pmp.req.bits.addr := mem_addr
169b6982e83SLemover  io.pmp.req.bits.size := 3.U // TODO: fix it
170b6982e83SLemover  io.pmp.req.bits.cmd := TlbCmd.read
171b6982e83SLemover
172b6982e83SLemover  mem.req.valid := state === s_mem_req && !io.mem.mask && !accessFault
173b6982e83SLemover  mem.req.bits.addr := mem_addr
174bc063562SLemover  mem.req.bits.id := FsmReqID.U(bMemID.W)
1756d5ddbceSLemover
17645f497a4Shappy-lx  io.refill.req_info.vpn := vpn
1776d5ddbceSLemover  io.refill.level := level
17845f497a4Shappy-lx  io.refill.req_info.source := source
1796d5ddbceSLemover
180cc5a5f22SLemover  XSDebug(p"[fsm] state:${state} level:${level} notFound:${pageFault}\n")
1816d5ddbceSLemover
1826d5ddbceSLemover  // perf
1836d5ddbceSLemover  XSPerfAccumulate("fsm_count", io.req.fire())
1846d5ddbceSLemover  for (i <- 0 until PtwWidth) {
18545f497a4Shappy-lx    XSPerfAccumulate(s"fsm_count_source${i}", io.req.fire() && io.req.bits.req_info.source === i.U)
1866d5ddbceSLemover  }
1876d5ddbceSLemover  XSPerfAccumulate("fsm_busy", state =/= s_idle)
1886d5ddbceSLemover  XSPerfAccumulate("fsm_idle", state === s_idle)
1896d5ddbceSLemover  XSPerfAccumulate("resp_blocked", io.resp.valid && !io.resp.ready)
1906d5ddbceSLemover  XSPerfAccumulate("mem_count", mem.req.fire())
1916d5ddbceSLemover  XSPerfAccumulate("mem_cycle", BoolStopWatch(mem.req.fire, mem.resp.fire(), true))
1926d5ddbceSLemover  XSPerfAccumulate("mem_blocked", mem.req.valid && !mem.req.ready)
193cc5a5f22SLemover
1949bd9cdfaSLemover  TimeOutAssert(state =/= s_idle, timeOutThreshold, "page table walker time out")
195cd365d4cSrvcoresjw
196cd365d4cSrvcoresjw  val perfEvents = Seq(
197cd365d4cSrvcoresjw    ("fsm_count         ", io.req.fire()                                     ),
198cd365d4cSrvcoresjw    ("fsm_busy          ", state =/= s_idle                                  ),
199cd365d4cSrvcoresjw    ("fsm_idle          ", state === s_idle                                  ),
200cd365d4cSrvcoresjw    ("resp_blocked      ", io.resp.valid && !io.resp.ready                   ),
201cd365d4cSrvcoresjw    ("mem_count         ", mem.req.fire()                                    ),
202cd365d4cSrvcoresjw    ("mem_cycle         ", BoolStopWatch(mem.req.fire, mem.resp.fire(), true)),
203cd365d4cSrvcoresjw    ("mem_blocked       ", mem.req.valid && !mem.req.ready                   ),
204cd365d4cSrvcoresjw  )
205*1ca0e4f3SYinan Xu  generatePerfEvent()
2066d5ddbceSLemover}
207