xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/L2TLB.scala (revision d0de7e4a4bcd4633260dda99dfedc2a5e543b8b4)
192e3bfefSLemover/***************************************************************************************
292e3bfefSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
392e3bfefSLemover* Copyright (c) 2020-2021 Peng Cheng Laboratory
492e3bfefSLemover*
592e3bfefSLemover* XiangShan is licensed under Mulan PSL v2.
692e3bfefSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
792e3bfefSLemover* You may obtain a copy of Mulan PSL v2 at:
892e3bfefSLemover*          http://license.coscl.org.cn/MulanPSL2
992e3bfefSLemover*
1092e3bfefSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1192e3bfefSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1292e3bfefSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1392e3bfefSLemover*
1492e3bfefSLemover* See the Mulan PSL v2 for more details.
1592e3bfefSLemover***************************************************************************************/
1692e3bfefSLemover
1792e3bfefSLemoverpackage xiangshan.cache.mmu
1892e3bfefSLemover
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
2092e3bfefSLemoverimport chisel3._
2192e3bfefSLemoverimport chisel3.experimental.ExtModule
2292e3bfefSLemoverimport chisel3.util._
2392e3bfefSLemoverimport xiangshan._
2492e3bfefSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
2592e3bfefSLemoverimport utils._
263c02ee8fSwakafaimport utility._
2792e3bfefSLemoverimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp}
2892e3bfefSLemoverimport freechips.rocketchip.tilelink._
2992e3bfefSLemoverimport xiangshan.backend.fu.{PMP, PMPChecker, PMPReqBundle, PMPRespBundle}
3092e3bfefSLemoverimport xiangshan.backend.fu.util.HasCSRConst
319c26bab7SHaoyuan Fengimport difftest._
3292e3bfefSLemover
3392e3bfefSLemoverclass L2TLB()(implicit p: Parameters) extends LazyModule with HasPtwConst {
3495e60e55STang Haojin  override def shouldBeInlined: Boolean = false
3592e3bfefSLemover
3692e3bfefSLemover  val node = TLClientNode(Seq(TLMasterPortParameters.v1(
3792e3bfefSLemover    clients = Seq(TLMasterParameters.v1(
3892e3bfefSLemover      "ptw",
3992e3bfefSLemover      sourceId = IdRange(0, MemReqWidth)
40d2b20d1aSTang Haojin    )),
41d2b20d1aSTang Haojin    requestFields = Seq(ReqSourceField())
4292e3bfefSLemover  )))
4392e3bfefSLemover
4492e3bfefSLemover  lazy val module = new L2TLBImp(this)
4592e3bfefSLemover}
4692e3bfefSLemover
4792e3bfefSLemoverclass L2TLBImp(outer: L2TLB)(implicit p: Parameters) extends PtwModule(outer) with HasCSRConst with HasPerfEvents {
4892e3bfefSLemover
4992e3bfefSLemover  val (mem, edge) = outer.node.out.head
5092e3bfefSLemover
5192e3bfefSLemover  val io = IO(new L2TLBIO)
5292e3bfefSLemover  val difftestIO = IO(new Bundle() {
5392e3bfefSLemover    val ptwResp = Output(Bool())
5492e3bfefSLemover    val ptwAddr = Output(UInt(64.W))
5592e3bfefSLemover    val ptwData = Output(Vec(4, UInt(64.W)))
5692e3bfefSLemover  })
5792e3bfefSLemover
5892e3bfefSLemover  /* Ptw processes multiple requests
5992e3bfefSLemover   * Divide Ptw procedure into two stages: cache access ; mem access if cache miss
6092e3bfefSLemover   *           miss queue itlb       dtlb
6192e3bfefSLemover   *               |       |         |
6292e3bfefSLemover   *               ------arbiter------
6392e3bfefSLemover   *                            |
6492e3bfefSLemover   *                    l1 - l2 - l3 - sp
6592e3bfefSLemover   *                            |
6692e3bfefSLemover   *          -------------------------------------------
6792e3bfefSLemover   *    miss  |  queue                                  | hit
6892e3bfefSLemover   *    [][][][][][]                                    |
6992e3bfefSLemover   *          |                                         |
7092e3bfefSLemover   *    state machine accessing mem                     |
7192e3bfefSLemover   *          |                                         |
7292e3bfefSLemover   *          ---------------arbiter---------------------
7392e3bfefSLemover   *                 |                    |
7492e3bfefSLemover   *                itlb                 dtlb
7592e3bfefSLemover   */
7692e3bfefSLemover
7792e3bfefSLemover  difftestIO <> DontCare
7892e3bfefSLemover
797797f035SbugGenerator  val sfence_tmp = DelayN(io.sfence, 1)
807797f035SbugGenerator  val csr_tmp    = DelayN(io.csr.tlb, 1)
81*d0de7e4aSpeixiaokun  val sfence_dup = Seq.fill(9)(RegNext(sfence_tmp))
82*d0de7e4aSpeixiaokun  val csr_dup = Seq.fill(8)(RegNext(csr_tmp))
837797f035SbugGenerator  val satp   = csr_dup(0).satp
84*d0de7e4aSpeixiaokun  val vsatp  = csr_dup(0).vsatp
85*d0de7e4aSpeixiaokun  val hgatp  = csr_dup(0).hgatp
867797f035SbugGenerator  val priv   = csr_dup(0).priv
87*d0de7e4aSpeixiaokun  val flush  = sfence_dup(0).valid || satp.changed || vsatp.changed || hgatp.changed
8892e3bfefSLemover
8992e3bfefSLemover  val pmp = Module(new PMP())
9092e3bfefSLemover  val pmp_check = VecInit(Seq.fill(2)(Module(new PMPChecker(lgMaxSize = 3, sameCycle = true)).io))
9192e3bfefSLemover  pmp.io.distribute_csr := io.csr.distribute_csr
9292e3bfefSLemover  pmp_check.foreach(_.check_env.apply(ModeS, pmp.io.pmp, pmp.io.pma))
9392e3bfefSLemover
9492e3bfefSLemover  val missQueue = Module(new L2TlbMissQueue)
9592e3bfefSLemover  val cache = Module(new PtwCache)
9692e3bfefSLemover  val ptw = Module(new PTW)
97*d0de7e4aSpeixiaokun  val hptw = Module(new HPTW)
9892e3bfefSLemover  val llptw = Module(new LLPTW)
997797f035SbugGenerator  val blockmq = Module(new BlockHelper(3))
10092e3bfefSLemover  val arb1 = Module(new Arbiter(new PtwReq, PtwWidth))
10192e3bfefSLemover  val arb2 = Module(new Arbiter(new Bundle {
10292e3bfefSLemover    val vpn = UInt(vpnLen.W)
103*d0de7e4aSpeixiaokun    val gvpn = UInt(gvpnLen.W)
104*d0de7e4aSpeixiaokun    val s2xlate = UInt(2.W)
10592e3bfefSLemover    val source = UInt(bSourceWidth.W)
106*d0de7e4aSpeixiaokun  }, if (l2tlbParams.enablePrefetch) 4 else 3 + if(l2tlbParams.HasHExtension) 1 else 0))
107*d0de7e4aSpeixiaokun  val hptw_req_arb = Module(new Arbiter(new Bundle {
108*d0de7e4aSpeixiaokun    val id = UInt(log2Up(l2tlbParams.llptwsize).W)
109*d0de7e4aSpeixiaokun    val gvpn = UInt(gvpnLen.W)
110*d0de7e4aSpeixiaokun  }, 2))
111*d0de7e4aSpeixiaokun  val hptw_resp_arb = Module(new Arbiter(new Bundle {
112*d0de7e4aSpeixiaokun    val resp = new HptwResp()
113*d0de7e4aSpeixiaokun    val id = UInt(log2Up(l2tlbParams.llptwsize).W)
114*d0de7e4aSpeixiaokun  }, 2))
115*d0de7e4aSpeixiaokun  val outArb = (0 until PtwWidth).map(i => Module(new Arbiter(new Bundle {
116*d0de7e4aSpeixiaokun    val s2xlate = UInt(2.W)
117*d0de7e4aSpeixiaokun    val s1Resp = new PtwSectorResp ()
118*d0de7e4aSpeixiaokun    val s2Resp = new HptwResp()
119*d0de7e4aSpeixiaokun  }, 1)).io)
120*d0de7e4aSpeixiaokun  val mergeArb = (0 until PtwWidth).map(i => Module(new Arbiter(new Bundle {
121*d0de7e4aSpeixiaokun    val s2xlate = UInt(2.W)
122*d0de7e4aSpeixiaokun    val s1Resp = new PtwMergeResp()
123*d0de7e4aSpeixiaokun    val s2Resp = new HptwResp()
124*d0de7e4aSpeixiaokun  }, 3)).io)
12592e3bfefSLemover  val outArbCachePort = 0
12692e3bfefSLemover  val outArbFsmPort = 1
12792e3bfefSLemover  val outArbMqPort = 2
12892e3bfefSLemover
129*d0de7e4aSpeixiaokun  // hptw arb input port
130*d0de7e4aSpeixiaokun  val InHptwArbPTWPort = 0
131*d0de7e4aSpeixiaokun  val InHptwArbLLPTWPort = 1
132*d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbPTWPort).valid := ptw.io.hptw.req.valid
133*d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbPTWPort).bits.gvpn := ptw.io.hptw.req.bits.gvpn
134*d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbPTWPort).bits.id := ptw.io.hptw.req.bits.id
135*d0de7e4aSpeixiaokun  ptw.io.hptw.req.ready := hptw_req_arb.io.in(InHptwArbPTWPort).ready
136*d0de7e4aSpeixiaokun
137*d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbLLPTWPort).valid := llptw.io.hptw.req.valid
138*d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.gvpn := llptw.io.hptw.req.bits.gvpn
139*d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.id := llptw.io.hptw.req.bits.id
140*d0de7e4aSpeixiaokun  llptw.io.hptw.req.ready := hptw_req_arb.io.in(InHptwArbLLPTWPort).ready
141*d0de7e4aSpeixiaokun
142*d0de7e4aSpeixiaokun  val hptw_id = RegInit(0.U(log2Up(l2tlbParams.llptwsize).W))
143*d0de7e4aSpeixiaokun  when(hptw_req_arb.io.out.valid) {
144*d0de7e4aSpeixiaokun    hptw_id := hptw_req_arb.io.out.bits.id
145*d0de7e4aSpeixiaokun  }
1469c503409SLemover  // arb2 input port
1479c503409SLemover  val InArbPTWPort = 0
1489c503409SLemover  val InArbMissQueuePort = 1
1499c503409SLemover  val InArbTlbPort = 2
1509c503409SLemover  val InArbPrefetchPort = 3
151*d0de7e4aSpeixiaokun  val InArbHPTWPort = 4
15292e3bfefSLemover  // NOTE: when cache out but miss and ptw doesnt accept,
15392e3bfefSLemover  arb1.io.in <> VecInit(io.tlb.map(_.req(0)))
1549c503409SLemover  arb1.io.out.ready := arb2.io.in(InArbTlbPort).ready
15592e3bfefSLemover
1569c503409SLemover  arb2.io.in(InArbPTWPort).valid := ptw.io.llptw.valid
1579c503409SLemover  arb2.io.in(InArbPTWPort).bits.vpn := ptw.io.llptw.bits.req_info.vpn
158*d0de7e4aSpeixiaokun  arb2.io.in(InArbPTWPort).bits.gvpn := ptw.io.llptw.bits.req_info.gvpn
159*d0de7e4aSpeixiaokun  arb2.io.in(InArbPTWPort).bits.s2xlate := ptw.io.llptw.bits.req_info.s2xlate
1609c503409SLemover  arb2.io.in(InArbPTWPort).bits.source := ptw.io.llptw.bits.req_info.source
1619c503409SLemover  ptw.io.llptw.ready := arb2.io.in(InArbPTWPort).ready
16292e3bfefSLemover  block_decoupled(missQueue.io.out, arb2.io.in(InArbMissQueuePort), !ptw.io.req.ready)
1637797f035SbugGenerator
16492e3bfefSLemover  arb2.io.in(InArbTlbPort).valid := arb1.io.out.valid
16592e3bfefSLemover  arb2.io.in(InArbTlbPort).bits.vpn := arb1.io.out.bits.vpn
166*d0de7e4aSpeixiaokun  arb2.io.in(InArbTlbPort).bits.gvpn := arb1.io.out.bits.gvpn
167*d0de7e4aSpeixiaokun  arb2.io.in(InArbTlbPort).bits.s2xlate := arb1.io.out.bits.s2xlate
16892e3bfefSLemover  arb2.io.in(InArbTlbPort).bits.source := arb1.io.chosen
169*d0de7e4aSpeixiaokun
170*d0de7e4aSpeixiaokun  arb2.io.in(InArbHPTWPort).valid := hptw_req_arb.io.out.valid
171*d0de7e4aSpeixiaokun  arb2.io.in(InArbHPTWPort).bits.vpn := DontCare
172*d0de7e4aSpeixiaokun  arb2.io.in(InArbHPTWPort).bits.gvpn := hptw_req_arb.io.out.bits.gvpn
173*d0de7e4aSpeixiaokun  arb2.io.in(InArbHPTWPort).bits.s2xlate := "0b11".U
174*d0de7e4aSpeixiaokun  arb2.io.in(InArbHPTWPort).bits.source := DontCare
17592e3bfefSLemover  if (l2tlbParams.enablePrefetch) {
17692e3bfefSLemover    val prefetch = Module(new L2TlbPrefetch())
17792e3bfefSLemover    val recv = cache.io.resp
17892e3bfefSLemover    // NOTE: 1. prefetch doesn't gen prefetch 2. req from mq doesn't gen prefetch
17992e3bfefSLemover    // NOTE: 1. miss req gen prefetch 2. hit but prefetched gen prefetch
180935edac4STang Haojin    prefetch.io.in.valid := recv.fire && !from_pre(recv.bits.req_info.source) && (!recv.bits.hit  ||
18192e3bfefSLemover      recv.bits.prefetch) && recv.bits.isFirst
18292e3bfefSLemover    prefetch.io.in.bits.vpn := recv.bits.req_info.vpn
1837797f035SbugGenerator    prefetch.io.sfence := sfence_dup(0)
1847797f035SbugGenerator    prefetch.io.csr := csr_dup(0)
18592e3bfefSLemover    arb2.io.in(InArbPrefetchPort) <> prefetch.io.out
1865afdf73cSHaoyuan Feng
187da3bf434SMaxpicca-Li    val isWriteL2TlbPrefetchTable = WireInit(Constantin.createRecord("isWriteL2TlbPrefetchTable" + p(XSCoreParamsKey).HartId.toString))
1885afdf73cSHaoyuan Feng    val L2TlbPrefetchTable = ChiselDB.createTable("L2TlbPrefetch_hart" + p(XSCoreParamsKey).HartId.toString, new L2TlbPrefetchDB)
1895afdf73cSHaoyuan Feng    val L2TlbPrefetchDB = Wire(new L2TlbPrefetchDB)
1905afdf73cSHaoyuan Feng    L2TlbPrefetchDB.vpn := prefetch.io.out.bits.vpn
191da3bf434SMaxpicca-Li    L2TlbPrefetchTable.log(L2TlbPrefetchDB, isWriteL2TlbPrefetchTable.orR && prefetch.io.out.fire, "L2TlbPrefetch", clock, reset)
19292e3bfefSLemover  }
19392e3bfefSLemover  arb2.io.out.ready := cache.io.req.ready
19492e3bfefSLemover
1957797f035SbugGenerator
1967797f035SbugGenerator  val mq_arb = Module(new Arbiter(new L2TlbInnerBundle, 2))
1977797f035SbugGenerator  mq_arb.io.in(0).valid := cache.io.resp.valid && !cache.io.resp.bits.hit &&
1987797f035SbugGenerator    (!cache.io.resp.bits.toFsm.l2Hit || cache.io.resp.bits.bypassed) &&
1997797f035SbugGenerator    !from_pre(cache.io.resp.bits.req_info.source) &&
2007797f035SbugGenerator    (cache.io.resp.bits.bypassed || cache.io.resp.bits.isFirst || !ptw.io.req.ready)
2017797f035SbugGenerator  mq_arb.io.in(0).bits :=  cache.io.resp.bits.req_info
2027797f035SbugGenerator  mq_arb.io.in(1) <> llptw.io.cache
2037797f035SbugGenerator  missQueue.io.in <> mq_arb.io.out
2047797f035SbugGenerator  missQueue.io.sfence  := sfence_dup(6)
2057797f035SbugGenerator  missQueue.io.csr := csr_dup(5)
2067797f035SbugGenerator
2077797f035SbugGenerator  blockmq.io.start := missQueue.io.out.fire
208935edac4STang Haojin  blockmq.io.enable := ptw.io.req.fire
2097797f035SbugGenerator
210*d0de7e4aSpeixiaokun  llptw.io.in.valid := cache.io.resp.valid &&
211*d0de7e4aSpeixiaokun    !cache.io.resp.bits.hit &&
212*d0de7e4aSpeixiaokun    cache.io.resp.bits.toFsm.l2Hit &&
213*d0de7e4aSpeixiaokun    !cache.io.resp.bits.bypassed &&
214*d0de7e4aSpeixiaokun    !cache.io.resp.bits.isHptw
2159c503409SLemover  llptw.io.in.bits.req_info := cache.io.resp.bits.req_info
2169c503409SLemover  llptw.io.in.bits.ppn := cache.io.resp.bits.toFsm.ppn
2177797f035SbugGenerator  llptw.io.sfence := sfence_dup(1)
2187797f035SbugGenerator  llptw.io.csr := csr_dup(1)
21992e3bfefSLemover
22092e3bfefSLemover  cache.io.req.valid := arb2.io.out.valid
22192e3bfefSLemover  cache.io.req.bits.req_info.vpn := arb2.io.out.bits.vpn
222*d0de7e4aSpeixiaokun  cache.io.req.bits.req_info.gvpn := arb2.io.out.bits.gvpn
223*d0de7e4aSpeixiaokun  cache.io.req.bits.req_info.s2xlate := arb2.io.out.bits.s2xlate
22492e3bfefSLemover  cache.io.req.bits.req_info.source := arb2.io.out.bits.source
22592e3bfefSLemover  cache.io.req.bits.isFirst := arb2.io.chosen =/= InArbMissQueuePort.U
226*d0de7e4aSpeixiaokun  cache.io.req.bits.isHptw := arb2.io.chosen === InArbHPTWPort.U
227*d0de7e4aSpeixiaokun  cache.io.req.bits.hptwId := hptw_id
2281f4a7c0cSLemover  cache.io.req.bits.bypassed.map(_ := false.B)
2297797f035SbugGenerator  cache.io.sfence := sfence_dup(2)
2307797f035SbugGenerator  cache.io.csr := csr_dup(2)
2317797f035SbugGenerator  cache.io.sfence_dup.zip(sfence_dup.drop(2).take(4)).map(s => s._1 := s._2)
2327797f035SbugGenerator  cache.io.csr_dup.zip(csr_dup.drop(2).take(3)).map(c => c._1 := c._2)
23392e3bfefSLemover  cache.io.resp.ready := Mux(cache.io.resp.bits.hit,
23492e3bfefSLemover    outReady(cache.io.resp.bits.req_info.source, outArbCachePort),
2359c503409SLemover    Mux(cache.io.resp.bits.toFsm.l2Hit && !cache.io.resp.bits.bypassed, llptw.io.in.ready,
2367797f035SbugGenerator    Mux(cache.io.resp.bits.bypassed || cache.io.resp.bits.isFirst, mq_arb.io.in(0).ready, mq_arb.io.in(0).ready || ptw.io.req.ready)))
23792e3bfefSLemover
23892e3bfefSLemover  // NOTE: missQueue req has higher priority
2397797f035SbugGenerator  ptw.io.req.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && !cache.io.resp.bits.toFsm.l2Hit &&
2407797f035SbugGenerator    !cache.io.resp.bits.bypassed &&
241*d0de7e4aSpeixiaokun    !cache.io.resp.bits.isFirst &&
242*d0de7e4aSpeixiaokun    !cache.io.resp.bits.isHptw
24392e3bfefSLemover  ptw.io.req.bits.req_info := cache.io.resp.bits.req_info
24492e3bfefSLemover  ptw.io.req.bits.l1Hit := cache.io.resp.bits.toFsm.l1Hit
24592e3bfefSLemover  ptw.io.req.bits.ppn := cache.io.resp.bits.toFsm.ppn
2467797f035SbugGenerator  ptw.io.sfence := sfence_dup(7)
2477797f035SbugGenerator  ptw.io.csr := csr_dup(6)
24892e3bfefSLemover  ptw.io.resp.ready := outReady(ptw.io.resp.bits.source, outArbFsmPort)
24992e3bfefSLemover
250*d0de7e4aSpeixiaokun  hptw.io.req.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && !cache.io.resp.bits.bypassed & cache.io.resp.bits.isHptw
251*d0de7e4aSpeixiaokun  hptw.io.req.bits.gvpn := cache.io.resp.bits.req_info.gvpn
252*d0de7e4aSpeixiaokun  hptw.io.req.bits.id := cache.io.resp.bits.toHptw.id
253*d0de7e4aSpeixiaokun  hptw.io.req.bits.l1Hit := cache.io.resp.bits.toHptw.l1Hit
254*d0de7e4aSpeixiaokun  hptw.io.req.bits.l2Hit := cache.io.resp.bits.toHptw.l2Hit
255*d0de7e4aSpeixiaokun  hptw.io.sfence := sfence_dup(8)
256*d0de7e4aSpeixiaokun  hptw.io.csr := csr_dup(7)
25792e3bfefSLemover  // mem req
25892e3bfefSLemover  def blockBytes_align(addr: UInt) = {
25992e3bfefSLemover    Cat(addr(PAddrBits - 1, log2Up(l2tlbParams.blockBytes)), 0.U(log2Up(l2tlbParams.blockBytes).W))
26092e3bfefSLemover  }
26192e3bfefSLemover  def addr_low_from_vpn(vpn: UInt) = {
26292e3bfefSLemover    vpn(log2Ceil(l2tlbParams.blockBytes)-log2Ceil(XLEN/8)-1, 0)
26392e3bfefSLemover  }
26492e3bfefSLemover  def addr_low_from_paddr(paddr: UInt) = {
26592e3bfefSLemover    paddr(log2Up(l2tlbParams.blockBytes)-1, log2Up(XLEN/8))
26692e3bfefSLemover  }
267*d0de7e4aSpeixiaokun  def from_llptw(id: UInt) = {
268*d0de7e4aSpeixiaokun    id < l2tlbParams.llptwsize.U
269*d0de7e4aSpeixiaokun  }
270*d0de7e4aSpeixiaokun  def from_ptw(id: UInt) = {
271*d0de7e4aSpeixiaokun    id === l2tlbParams.llptwsize.U
272*d0de7e4aSpeixiaokun  }
273*d0de7e4aSpeixiaokun  def from_hptw(id: UInt) = {
274*d0de7e4aSpeixiaokun    id === l2tlbParams.llptwsize.U + 1.U
27592e3bfefSLemover  }
27692e3bfefSLemover  val waiting_resp = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B)))
27792e3bfefSLemover  val flush_latch = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B)))
27892e3bfefSLemover  for (i <- waiting_resp.indices) {
27992e3bfefSLemover    assert(!flush_latch(i) || waiting_resp(i)) // when sfence_latch wait for mem resp, waiting_resp should be true
28092e3bfefSLemover  }
28192e3bfefSLemover
28292e3bfefSLemover  val llptw_out = llptw.io.out
28392e3bfefSLemover  val llptw_mem = llptw.io.mem
28492e3bfefSLemover  llptw_mem.req_mask := waiting_resp.take(l2tlbParams.llptwsize)
285*d0de7e4aSpeixiaokun  ptw.io.mem.mask := waiting_resp.slice(l2tlbParams.llptwsize, l2tlbParams.llptwsize+1)
286*d0de7e4aSpeixiaokun  hptw.io.mem.mask := waiting_resp.slice(l2tlbParams.llptwsize+1, l2tlbParams.llptwsize+2)
28792e3bfefSLemover
288*d0de7e4aSpeixiaokun  val mem_arb = Module(new Arbiter(new L2TlbMemReqBundle(), 3))
28992e3bfefSLemover  mem_arb.io.in(0) <> ptw.io.mem.req
29092e3bfefSLemover  mem_arb.io.in(1) <> llptw_mem.req
291*d0de7e4aSpeixiaokun  mem_arb.io.in(2) <> hptw.io.mem.req
29292e3bfefSLemover  mem_arb.io.out.ready := mem.a.ready && !flush
29392e3bfefSLemover
2941f4a7c0cSLemover  // assert, should not send mem access at same addr for twice.
2957797f035SbugGenerator  val last_resp_vpn = RegEnable(cache.io.refill.bits.req_info_dup(0).vpn, cache.io.refill.valid)
2967797f035SbugGenerator  val last_resp_level = RegEnable(cache.io.refill.bits.level_dup(0), cache.io.refill.valid)
2971f4a7c0cSLemover  val last_resp_v = RegInit(false.B)
298dd7fe201SHaoyuan Feng  val last_has_invalid = !Cat(cache.io.refill.bits.ptes.asTypeOf(Vec(blockBits/XLEN, UInt(XLEN.W))).map(a => a(0))).andR || cache.io.refill.bits.sel_pte_dup(0).asTypeOf(new PteBundle).isAf()
2991f4a7c0cSLemover  when (cache.io.refill.valid) { last_resp_v := !last_has_invalid}
3001f4a7c0cSLemover  when (flush) { last_resp_v := false.B }
3011f4a7c0cSLemover  XSError(last_resp_v && cache.io.refill.valid &&
3027797f035SbugGenerator    (cache.io.refill.bits.req_info_dup(0).vpn === last_resp_vpn) &&
3037797f035SbugGenerator    (cache.io.refill.bits.level_dup(0) === last_resp_level),
3041f4a7c0cSLemover    "l2tlb should not access mem at same addr for twice")
305*d0de7e4aSpeixiaokun  // ATTENTION: this may wrongly assert when: a ptes is l2, last part is valid,
3061f4a7c0cSLemover  // but the current part is invalid, so one more mem access happened
3071f4a7c0cSLemover  // If this happened, remove the assert.
3081f4a7c0cSLemover
30992e3bfefSLemover  val req_addr_low = Reg(Vec(MemReqWidth, UInt((log2Up(l2tlbParams.blockBytes)-log2Up(XLEN/8)).W)))
31092e3bfefSLemover
311935edac4STang Haojin  when (llptw.io.in.fire) {
31292e3bfefSLemover    // when enq miss queue, set the req_addr_low to receive the mem resp data part
31392e3bfefSLemover    req_addr_low(llptw_mem.enq_ptr) := addr_low_from_vpn(llptw.io.in.bits.req_info.vpn)
31492e3bfefSLemover  }
315935edac4STang Haojin  when (mem_arb.io.out.fire) {
31692e3bfefSLemover    req_addr_low(mem_arb.io.out.bits.id) := addr_low_from_paddr(mem_arb.io.out.bits.addr)
31792e3bfefSLemover    waiting_resp(mem_arb.io.out.bits.id) := true.B
31892e3bfefSLemover  }
31992e3bfefSLemover  // mem read
32092e3bfefSLemover  val memRead =  edge.Get(
32192e3bfefSLemover    fromSource = mem_arb.io.out.bits.id,
32292e3bfefSLemover    // toAddress  = memAddr(log2Up(CacheLineSize / 2 / 8) - 1, 0),
32392e3bfefSLemover    toAddress  = blockBytes_align(mem_arb.io.out.bits.addr),
32492e3bfefSLemover    lgSize     = log2Up(l2tlbParams.blockBytes).U
32592e3bfefSLemover  )._2
32692e3bfefSLemover  mem.a.bits := memRead
32792e3bfefSLemover  mem.a.valid := mem_arb.io.out.valid && !flush
328d2b20d1aSTang Haojin  mem.a.bits.user.lift(ReqSourceKey).foreach(_ := MemReqSource.PTW.id.U)
32992e3bfefSLemover  mem.d.ready := true.B
33092e3bfefSLemover  // mem -> data buffer
33192e3bfefSLemover  val refill_data = Reg(Vec(blockBits / l1BusDataWidth, UInt(l1BusDataWidth.W)))
332935edac4STang Haojin  val refill_helper = edge.firstlastHelper(mem.d.bits, mem.d.fire)
33392e3bfefSLemover  val mem_resp_done = refill_helper._3
334*d0de7e4aSpeixiaokun  val mem_resp_from_llptw = from_llptw(mem.d.bits.source)
335*d0de7e4aSpeixiaokun  val mem_resp_from_ptw = from_ptw(mem.d.bits.source)
336*d0de7e4aSpeixiaokun  val mem_resp_from_hptw = from_hptw(mem.d.bits.source)
33792e3bfefSLemover  when (mem.d.valid) {
338*d0de7e4aSpeixiaokun    assert(mem.d.bits.source < MemReqWidth.U)
33992e3bfefSLemover    refill_data(refill_helper._4) := mem.d.bits.data
34092e3bfefSLemover  }
3417797f035SbugGenerator  // refill_data_tmp is the wire fork of refill_data, but one cycle earlier
3427797f035SbugGenerator  val refill_data_tmp = WireInit(refill_data)
3437797f035SbugGenerator  refill_data_tmp(refill_helper._4) := mem.d.bits.data
3447797f035SbugGenerator
34592e3bfefSLemover  // save only one pte for each id
34692e3bfefSLemover  // (miss queue may can't resp to tlb with low latency, it should have highest priority, but diffcult to design cache)
34792e3bfefSLemover  val resp_pte = VecInit((0 until MemReqWidth).map(i =>
348*d0de7e4aSpeixiaokun    if (i == l2tlbParams.llptwsize + 1) {RegEnable(get_part(refill_data_tmp, req_addr_low(i)), mem_resp_done && mem_resp_from_hptw) }
349*d0de7e4aSpeixiaokun    else if (i == l2tlbParams.llptwsize) {RegEnable(get_part(refill_data_tmp, req_addr_low(i)), mem_resp_done && mem_resp_from_ptw) }
35092e3bfefSLemover    else { DataHoldBypass(get_part(refill_data, req_addr_low(i)), llptw_mem.buffer_it(i)) }
3517797f035SbugGenerator    // llptw could not use refill_data_tmp, because enq bypass's result works at next cycle
35292e3bfefSLemover  ))
35392e3bfefSLemover
35463632028SHaoyuan Feng  // save eight ptes for each id when sector tlb
35563632028SHaoyuan Feng  // (miss queue may can't resp to tlb with low latency, it should have highest priority, but diffcult to design cache)
35663632028SHaoyuan Feng  val resp_pte_sector = VecInit((0 until MemReqWidth).map(i =>
357*d0de7e4aSpeixiaokun    if (i == l2tlbParams.llptwsize + 1) {RegEnable(refill_data_tmp, mem_resp_done && mem_resp_from_hptw) }
358*d0de7e4aSpeixiaokun    else if (i == l2tlbParams.llptwsize) {RegEnable(refill_data_tmp, mem_resp_done && mem_resp_from_ptw) }
35963632028SHaoyuan Feng    else { DataHoldBypass(refill_data, llptw_mem.buffer_it(i)) }
36063632028SHaoyuan Feng    // llptw could not use refill_data_tmp, because enq bypass's result works at next cycle
36163632028SHaoyuan Feng  ))
36263632028SHaoyuan Feng
363*d0de7e4aSpeixiaokun  // mem -> llptw
364*d0de7e4aSpeixiaokun  llptw_mem.resp.valid := mem_resp_done && mem_resp_from_llptw
3657797f035SbugGenerator  llptw_mem.resp.bits.id := DataHoldBypass(mem.d.bits.source, mem.d.valid)
36692e3bfefSLemover  // mem -> ptw
36792e3bfefSLemover  ptw.io.mem.req.ready := mem.a.ready
368*d0de7e4aSpeixiaokun  ptw.io.mem.resp.valid := mem_resp_done && mem_resp_from_ptw
369*d0de7e4aSpeixiaokun  ptw.io.mem.resp.bits := resp_pte.slice(l2tlbParams.llptwsize, l2tlbParams.llptwsize + 1)
370*d0de7e4aSpeixiaokun  // mem -> hptw
371*d0de7e4aSpeixiaokun  hptw.io.mem.req.ready := mem.a.ready
372*d0de7e4aSpeixiaokun  hptw.io.mem.resp.valid := mem_resp_done && mem_resp_from_hptw
373*d0de7e4aSpeixiaokun  hptw.io.mem.resp.bits := resp_pte.slice(l2tlbParams.llptwsize + 1, l2tlbParams.llptwsize + 2)
37492e3bfefSLemover  // mem -> cache
375*d0de7e4aSpeixiaokun  val refill_from_llptw = mem_resp_from_llptw
376*d0de7e4aSpeixiaokun  val refill_from_ptw = mem_resp_from_ptw
377*d0de7e4aSpeixiaokun  val refill_from_hptw = mem_resp_from_hptw
378*d0de7e4aSpeixiaokun  val refill_level = Mux(refill_from_llptw, 2.U, Mux(refill_from_ptw, RegEnable(ptw.io.refill.level, init = 0.U, ptw.io.mem.req.fire()), RegEnable(hptw.io.refill.level, init = 0.U, hptw.io.mem.req.fire())))
3797797f035SbugGenerator  val refill_valid = mem_resp_done && !flush && !flush_latch(mem.d.bits.source)
3807797f035SbugGenerator
3817797f035SbugGenerator  cache.io.refill.valid := RegNext(refill_valid, false.B)
38292e3bfefSLemover  cache.io.refill.bits.ptes := refill_data.asUInt
383*d0de7e4aSpeixiaokun  cache.io.refill.bits.req_info_dup.map(_ := RegEnable(Mux(refill_from_llptw, llptw_mem.refill, Mux(refill_from_ptw, ptw.io.refill.req_info, hptw.io.refill.req_info)), refill_valid))
3847797f035SbugGenerator  cache.io.refill.bits.level_dup.map(_ := RegEnable(refill_level, refill_valid))
3857797f035SbugGenerator  cache.io.refill.bits.levelOH(refill_level, refill_valid)
3867797f035SbugGenerator  cache.io.refill.bits.sel_pte_dup.map(_ := RegNext(sel_data(refill_data_tmp.asUInt, req_addr_low(mem.d.bits.source))))
38792e3bfefSLemover
3889c26bab7SHaoyuan Feng  if (env.EnableDifftest) {
3899c26bab7SHaoyuan Feng    val difftest_ptw_addr = RegInit(VecInit(Seq.fill(MemReqWidth)(0.U(PAddrBits.W))))
3909c26bab7SHaoyuan Feng    when (mem.a.valid) {
3919c26bab7SHaoyuan Feng      difftest_ptw_addr(mem.a.bits.source) := mem.a.bits.address
3929c26bab7SHaoyuan Feng    }
3939c26bab7SHaoyuan Feng
394a0c65233SYinan Xu    val difftest = DifftestModule(new DiffRefillEvent, dontCare = true)
395254e4960SHaoyuan Feng    difftest.coreid := io.hartId
3967d45a146SYinan Xu    difftest.index := 2.U
3977d45a146SYinan Xu    difftest.valid := cache.io.refill.valid
3987d45a146SYinan Xu    difftest.addr := difftest_ptw_addr(RegNext(mem.d.bits.source))
3997d45a146SYinan Xu    difftest.data := refill_data.asTypeOf(difftest.data)
400935edac4STang Haojin    difftest.idtfr := DontCare
4019c26bab7SHaoyuan Feng  }
4029c26bab7SHaoyuan Feng
4035ab1b84dSHaoyuan Feng  if (env.EnableDifftest) {
4045ab1b84dSHaoyuan Feng    for (i <- 0 until PtwWidth) {
4057d45a146SYinan Xu      val difftest = DifftestModule(new DiffL2TLBEvent)
406254e4960SHaoyuan Feng      difftest.coreid := io.hartId
4077d45a146SYinan Xu      difftest.valid := io.tlb(i).resp.fire && !io.tlb(i).resp.bits.af
4087d45a146SYinan Xu      difftest.index := i.U
4097d45a146SYinan Xu      difftest.satp := io.csr.tlb.satp.ppn
4107d45a146SYinan Xu      difftest.vpn := Cat(io.tlb(i).resp.bits.entry.tag, 0.U(sectortlbwidth.W))
41163632028SHaoyuan Feng      for (j <- 0 until tlbcontiguous) {
4127d45a146SYinan Xu        difftest.ppn(j) := Cat(io.tlb(i).resp.bits.entry.ppn, io.tlb(i).resp.bits.ppn_low(j))
4137d45a146SYinan Xu        difftest.valididx(j) := io.tlb(i).resp.bits.valididx(j)
41463632028SHaoyuan Feng      }
4157d45a146SYinan Xu      difftest.perm := io.tlb(i).resp.bits.entry.perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt
4167d45a146SYinan Xu      difftest.level := io.tlb(i).resp.bits.entry.level.getOrElse(0.U.asUInt)
4177d45a146SYinan Xu      difftest.pf := io.tlb(i).resp.bits.pf
4185ab1b84dSHaoyuan Feng    }
4195ab1b84dSHaoyuan Feng  }
4205ab1b84dSHaoyuan Feng
42192e3bfefSLemover  // pmp
42292e3bfefSLemover  pmp_check(0).req <> ptw.io.pmp.req
42392e3bfefSLemover  ptw.io.pmp.resp <> pmp_check(0).resp
42492e3bfefSLemover  pmp_check(1).req <> llptw.io.pmp.req
42592e3bfefSLemover  llptw.io.pmp.resp <> pmp_check(1).resp
42692e3bfefSLemover
42792e3bfefSLemover  llptw_out.ready := outReady(llptw_out.bits.req_info.source, outArbMqPort)
42863632028SHaoyuan Feng
429*d0de7e4aSpeixiaokun  // hptw and page cache -> ptw and llptw
430*d0de7e4aSpeixiaokun  val HptwRespArbCachePort = 0
431*d0de7e4aSpeixiaokun  val HptwRespArbHptw = 0
432*d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbCachePort).valid := cache.io.resp.valid && cache.io.resp.bits.hit && cache.io.resp.bits.isHptw
433*d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbCachePort).bits.id := cache.io.resp.bits.toHptw.id
434*d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbCachePort).bits.resp := cache.io.resp.bits.toHptw.resp
435*d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbHptw).valid := hptw.io.resp.valid
436*d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbHptw).bits.id := hptw.io.resp.bits.id
437*d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbHptw).bits.resp := hptw.io.resp.bits.resp
438*d0de7e4aSpeixiaokun
439*d0de7e4aSpeixiaokun  ptw.io.hptw.resp.valid := hptw_resp_arb.io.out.valid && hptw_resp_arb.io.out.bits.id === FsmReqID.U
440*d0de7e4aSpeixiaokun  ptw.io.hptw.resp.bits.h_resp := hptw_resp_arb.io.out.bits.resp
441*d0de7e4aSpeixiaokun  llptw.io.hptw.resp.valid := hptw_resp_arb.io.out.valid && hptw_resp_arb.io.out.bits.id =/= FsmReqID.U
442*d0de7e4aSpeixiaokun  llptw.io.hptw.resp.bits.h_resp := hptw_resp_arb.io.out.bits.resp
443*d0de7e4aSpeixiaokun
44463632028SHaoyuan Feng  // Timing: Maybe need to do some optimization or even add one more cycle
44592e3bfefSLemover  for (i <- 0 until PtwWidth) {
44663632028SHaoyuan Feng    mergeArb(i).in(outArbCachePort).valid := cache.io.resp.valid && cache.io.resp.bits.hit && cache.io.resp.bits.req_info.source===i.U
447*d0de7e4aSpeixiaokun    mergeArb(i).in(outArbCachePort).bits.s2xlate := cache.io.resp.bits.req_info.s2xlate
448*d0de7e4aSpeixiaokun    mergeArb(i).in(outArbCachePort).bits.s1Resp := cache.io.resp.bits.toTlb
449*d0de7e4aSpeixiaokun    mergeArb(i).in(outArbCachePort).bits.s2Resp := cache.io.resp.bits.toHptw.resp
45063632028SHaoyuan Feng    mergeArb(i).in(outArbFsmPort).valid := ptw.io.resp.valid && ptw.io.resp.bits.source===i.U
451*d0de7e4aSpeixiaokun    mergeArb(i).in(outArbFsmPort).bits.s2xlate := ptw.io.resp.bits.s2xlate
452*d0de7e4aSpeixiaokun    mergeArb(i).in(outArbFsmPort).bits.s1Resp := ptw.io.resp.bits.resp
453*d0de7e4aSpeixiaokun    mergeArb(i).in(outArbFsmPort).bits.s2Resp := ptw.io.resp.bits.h_resp
45463632028SHaoyuan Feng    mergeArb(i).in(outArbMqPort).valid := llptw_out.valid && llptw_out.bits.req_info.source===i.U
455*d0de7e4aSpeixiaokun    mergeArb(i).in(outArbMqPort).bits.s2xlate := llptw_out.bits.req_info.s2xlate
456*d0de7e4aSpeixiaokun    mergeArb(i).in(outArbMqPort).bits.s1Resp := contiguous_pte_to_merge_ptwResp(resp_pte_sector(llptw_out.bits.id).asUInt, llptw_out.bits.req_info.vpn, llptw_out.bits.af, true)
457*d0de7e4aSpeixiaokun    mergeArb(i).in(outArbMqPort).bits.s2Resp := llptw_out.bits.h_resp
45863632028SHaoyuan Feng    mergeArb(i).out.ready := outArb(i).in(0).ready
45963632028SHaoyuan Feng  }
46063632028SHaoyuan Feng
46163632028SHaoyuan Feng  for (i <- 0 until PtwWidth) {
46263632028SHaoyuan Feng    outArb(i).in(0).valid := mergeArb(i).out.valid
463*d0de7e4aSpeixiaokun    outArb(i).in(0).bits.s1Resp := merge_ptwResp_to_sector_ptwResp(mergeArb(i).out.bits.s1Resp)
464*d0de7e4aSpeixiaokun    outArb(i).in(0).bits.s2Resp := mergeArb(i).out.bits.s2Resp
46592e3bfefSLemover  }
46692e3bfefSLemover
46792e3bfefSLemover  // io.tlb.map(_.resp) <> outArb.map(_.out)
46892e3bfefSLemover  io.tlb.map(_.resp).zip(outArb.map(_.out)).map{
46992e3bfefSLemover    case (resp, out) => resp <> out
47092e3bfefSLemover  }
47192e3bfefSLemover
47292e3bfefSLemover  // sfence
47392e3bfefSLemover  when (flush) {
47492e3bfefSLemover    for (i <- 0 until MemReqWidth) {
47592e3bfefSLemover      when (waiting_resp(i)) {
47692e3bfefSLemover        flush_latch(i) := true.B
47792e3bfefSLemover      }
47892e3bfefSLemover    }
47992e3bfefSLemover  }
48092e3bfefSLemover  // mem -> control signal
48192e3bfefSLemover  // waiting_resp and sfence_latch will be reset when mem_resp_done
48292e3bfefSLemover  when (mem_resp_done) {
48392e3bfefSLemover    waiting_resp(mem.d.bits.source) := false.B
48492e3bfefSLemover    flush_latch(mem.d.bits.source) := false.B
48592e3bfefSLemover  }
48692e3bfefSLemover
48792e3bfefSLemover  def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = {
48892e3bfefSLemover    sink.valid   := source.valid && !block_signal
48992e3bfefSLemover    source.ready := sink.ready   && !block_signal
49092e3bfefSLemover    sink.bits    := source.bits
49192e3bfefSLemover  }
49292e3bfefSLemover
49392e3bfefSLemover  def get_part(data: Vec[UInt], index: UInt): UInt = {
49492e3bfefSLemover    val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W)))
49592e3bfefSLemover    inner_data(index)
49692e3bfefSLemover  }
49792e3bfefSLemover
49863632028SHaoyuan Feng  // not_super means that this is a normal page
49963632028SHaoyuan Feng  // valididx(i) will be all true when super page to be convenient for l1 tlb matching
50063632028SHaoyuan Feng  def contiguous_pte_to_merge_ptwResp(pte: UInt, vpn: UInt, af: Bool, af_first: Boolean, not_super: Boolean = true) : PtwMergeResp = {
50163632028SHaoyuan Feng    assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!")
50263632028SHaoyuan Feng    val ptw_merge_resp = Wire(new PtwMergeResp())
50363632028SHaoyuan Feng    for (i <- 0 until tlbcontiguous) {
50463632028SHaoyuan Feng      val pte_in = pte(64 * i + 63, 64 * i).asTypeOf(new PteBundle())
50563632028SHaoyuan Feng      val ptw_resp = Wire(new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true))
50663632028SHaoyuan Feng      ptw_resp.ppn := pte_in.ppn(ppnLen - 1, sectortlbwidth)
50763632028SHaoyuan Feng      ptw_resp.ppn_low := pte_in.ppn(sectortlbwidth - 1, 0)
50863632028SHaoyuan Feng      ptw_resp.level.map(_ := 2.U)
50963632028SHaoyuan Feng      ptw_resp.perm.map(_ := pte_in.getPerm())
51063632028SHaoyuan Feng      ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth)
51163632028SHaoyuan Feng      ptw_resp.pf := (if (af_first) !af else true.B) && pte_in.isPf(2.U)
51263632028SHaoyuan Feng      ptw_resp.af := (if (!af_first) pte_in.isPf(2.U) else true.B) && (af || pte_in.isAf())
51363632028SHaoyuan Feng      ptw_resp.v := !ptw_resp.pf
51463632028SHaoyuan Feng      ptw_resp.prefetch := DontCare
51563632028SHaoyuan Feng      ptw_resp.asid := satp.asid
51663632028SHaoyuan Feng      ptw_merge_resp.entry(i) := ptw_resp
51763632028SHaoyuan Feng    }
51863632028SHaoyuan Feng    ptw_merge_resp.pteidx := UIntToOH(vpn(sectortlbwidth - 1, 0)).asBools
51963632028SHaoyuan Feng    ptw_merge_resp.not_super := not_super.B
52063632028SHaoyuan Feng    ptw_merge_resp
52163632028SHaoyuan Feng  }
52263632028SHaoyuan Feng
52363632028SHaoyuan Feng  def merge_ptwResp_to_sector_ptwResp(pte: PtwMergeResp) : PtwSectorResp = {
52463632028SHaoyuan Feng    assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!")
52563632028SHaoyuan Feng    val ptw_sector_resp = Wire(new PtwSectorResp)
52663632028SHaoyuan Feng    ptw_sector_resp.entry.tag := pte.entry(OHToUInt(pte.pteidx)).tag
52763632028SHaoyuan Feng    ptw_sector_resp.entry.asid := pte.entry(OHToUInt(pte.pteidx)).asid
52863632028SHaoyuan Feng    ptw_sector_resp.entry.ppn := pte.entry(OHToUInt(pte.pteidx)).ppn
52963632028SHaoyuan Feng    ptw_sector_resp.entry.perm.map(_ := pte.entry(OHToUInt(pte.pteidx)).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)))
53063632028SHaoyuan Feng    ptw_sector_resp.entry.level.map(_ := pte.entry(OHToUInt(pte.pteidx)).level.getOrElse(0.U(2.W)))
53163632028SHaoyuan Feng    ptw_sector_resp.entry.prefetch := pte.entry(OHToUInt(pte.pteidx)).prefetch
53263632028SHaoyuan Feng    ptw_sector_resp.entry.v := pte.entry(OHToUInt(pte.pteidx)).v
53363632028SHaoyuan Feng    ptw_sector_resp.af := pte.entry(OHToUInt(pte.pteidx)).af
53463632028SHaoyuan Feng    ptw_sector_resp.pf := pte.entry(OHToUInt(pte.pteidx)).pf
53563632028SHaoyuan Feng    ptw_sector_resp.addr_low := OHToUInt(pte.pteidx)
536b0fa7106SHaoyuan Feng    ptw_sector_resp.pteidx := pte.pteidx
53763632028SHaoyuan Feng    for (i <- 0 until tlbcontiguous) {
53863632028SHaoyuan Feng      val ppn_equal = pte.entry(i).ppn === pte.entry(OHToUInt(pte.pteidx)).ppn
53963632028SHaoyuan Feng      val perm_equal = pte.entry(i).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt === pte.entry(OHToUInt(pte.pteidx)).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt
54063632028SHaoyuan Feng      val v_equal = pte.entry(i).v === pte.entry(OHToUInt(pte.pteidx)).v
54163632028SHaoyuan Feng      val af_equal = pte.entry(i).af === pte.entry(OHToUInt(pte.pteidx)).af
54263632028SHaoyuan Feng      val pf_equal = pte.entry(i).pf === pte.entry(OHToUInt(pte.pteidx)).pf
54363632028SHaoyuan Feng      ptw_sector_resp.valididx(i) := (ppn_equal && perm_equal && v_equal && af_equal && pf_equal) || !pte.not_super
54463632028SHaoyuan Feng      ptw_sector_resp.ppn_low(i) := pte.entry(i).ppn_low
54563632028SHaoyuan Feng    }
54663632028SHaoyuan Feng    ptw_sector_resp.valididx(OHToUInt(pte.pteidx)) := true.B
54763632028SHaoyuan Feng    ptw_sector_resp
54863632028SHaoyuan Feng  }
54963632028SHaoyuan Feng
55092e3bfefSLemover  def outReady(source: UInt, port: Int): Bool = {
55145f43e6eSTang Haojin    MuxLookup(source, true.B)((0 until PtwWidth).map(i => i.U -> mergeArb(i).in(port).ready))
55292e3bfefSLemover  }
55392e3bfefSLemover
55492e3bfefSLemover  // debug info
55592e3bfefSLemover  for (i <- 0 until PtwWidth) {
55692e3bfefSLemover    XSDebug(p"[io.tlb(${i.U})] ${io.tlb(i)}\n")
55792e3bfefSLemover  }
5587797f035SbugGenerator  XSDebug(p"[sfence] ${io.sfence}\n")
55992e3bfefSLemover  XSDebug(p"[io.csr.tlb] ${io.csr.tlb}\n")
56092e3bfefSLemover
56192e3bfefSLemover  for (i <- 0 until PtwWidth) {
562935edac4STang Haojin    XSPerfAccumulate(s"req_count${i}", io.tlb(i).req(0).fire)
56392e3bfefSLemover    XSPerfAccumulate(s"req_blocked_count_${i}", io.tlb(i).req(0).valid && !io.tlb(i).req(0).ready)
56492e3bfefSLemover  }
56592e3bfefSLemover  XSPerfAccumulate(s"req_blocked_by_mq", arb1.io.out.valid && missQueue.io.out.valid)
56692e3bfefSLemover  for (i <- 0 until (MemReqWidth + 1)) {
56792e3bfefSLemover    XSPerfAccumulate(s"mem_req_util${i}", PopCount(waiting_resp) === i.U)
56892e3bfefSLemover  }
56992e3bfefSLemover  XSPerfAccumulate("mem_cycle", PopCount(waiting_resp) =/= 0.U)
570935edac4STang Haojin  XSPerfAccumulate("mem_count", mem.a.fire)
571dd7fe201SHaoyuan Feng  for (i <- 0 until PtwWidth) {
57263632028SHaoyuan Feng    XSPerfAccumulate(s"llptw_ppn_af${i}", mergeArb(i).in(outArbMqPort).valid && mergeArb(i).in(outArbMqPort).bits.entry(OHToUInt(mergeArb(i).in(outArbMqPort).bits.pteidx)).af && !llptw_out.bits.af)
573dd7fe201SHaoyuan Feng    XSPerfAccumulate(s"access_fault${i}", io.tlb(i).resp.fire && io.tlb(i).resp.bits.af)
574dd7fe201SHaoyuan Feng  }
57592e3bfefSLemover
57692e3bfefSLemover  // print configs
577f1fe8698SLemover  println(s"${l2tlbParams.name}: a ptw, a llptw with size ${l2tlbParams.llptwsize}, miss queue size ${MissQueueSize} l1:${l2tlbParams.l1Size} fa l2: nSets ${l2tlbParams.l2nSets} nWays ${l2tlbParams.l2nWays} l3: ${l2tlbParams.l3nSets} nWays ${l2tlbParams.l3nWays} blockBytes:${l2tlbParams.blockBytes}")
57892e3bfefSLemover
57992e3bfefSLemover  // time out assert
58092e3bfefSLemover  for (i <- 0 until MemReqWidth) {
58192e3bfefSLemover    TimeOutAssert(waiting_resp(i), timeOutThreshold, s"ptw mem resp time out wait_resp${i}")
58292e3bfefSLemover    TimeOutAssert(flush_latch(i), timeOutThreshold, s"ptw mem resp time out flush_latch${i}")
58392e3bfefSLemover  }
58492e3bfefSLemover
58592e3bfefSLemover
58692e3bfefSLemover  val perfEvents  = Seq(llptw, cache, ptw).flatMap(_.getPerfEvents)
58792e3bfefSLemover  generatePerfEvent()
5885afdf73cSHaoyuan Feng
589da3bf434SMaxpicca-Li  val isWriteL1TlbTable = WireInit(Constantin.createRecord("isWriteL1TlbTable" + p(XSCoreParamsKey).HartId.toString))
5905afdf73cSHaoyuan Feng  val L1TlbTable = ChiselDB.createTable("L1Tlb_hart" + p(XSCoreParamsKey).HartId.toString, new L1TlbDB)
5915afdf73cSHaoyuan Feng  val ITlbReqDB, DTlbReqDB, ITlbRespDB, DTlbRespDB = Wire(new L1TlbDB)
5925afdf73cSHaoyuan Feng  ITlbReqDB.vpn := io.tlb(0).req(0).bits.vpn
5935afdf73cSHaoyuan Feng  DTlbReqDB.vpn := io.tlb(1).req(0).bits.vpn
5945afdf73cSHaoyuan Feng  ITlbRespDB.vpn := io.tlb(0).resp.bits.entry.tag
5955afdf73cSHaoyuan Feng  DTlbRespDB.vpn := io.tlb(1).resp.bits.entry.tag
596da3bf434SMaxpicca-Li  L1TlbTable.log(ITlbReqDB, isWriteL1TlbTable.orR && io.tlb(0).req(0).fire, "ITlbReq", clock, reset)
597da3bf434SMaxpicca-Li  L1TlbTable.log(DTlbReqDB, isWriteL1TlbTable.orR && io.tlb(1).req(0).fire, "DTlbReq", clock, reset)
598da3bf434SMaxpicca-Li  L1TlbTable.log(ITlbRespDB, isWriteL1TlbTable.orR && io.tlb(0).resp.fire, "ITlbResp", clock, reset)
599da3bf434SMaxpicca-Li  L1TlbTable.log(DTlbRespDB, isWriteL1TlbTable.orR && io.tlb(1).resp.fire, "DTlbResp", clock, reset)
6005afdf73cSHaoyuan Feng
601da3bf434SMaxpicca-Li  val isWritePageCacheTable = WireInit(Constantin.createRecord("isWritePageCacheTable" + p(XSCoreParamsKey).HartId.toString))
6025afdf73cSHaoyuan Feng  val PageCacheTable = ChiselDB.createTable("PageCache_hart" + p(XSCoreParamsKey).HartId.toString, new PageCacheDB)
6035afdf73cSHaoyuan Feng  val PageCacheDB = Wire(new PageCacheDB)
60463632028SHaoyuan Feng  PageCacheDB.vpn := Cat(cache.io.resp.bits.toTlb.entry(0).tag, OHToUInt(cache.io.resp.bits.toTlb.pteidx))
6055afdf73cSHaoyuan Feng  PageCacheDB.source := cache.io.resp.bits.req_info.source
6065afdf73cSHaoyuan Feng  PageCacheDB.bypassed := cache.io.resp.bits.bypassed
6075afdf73cSHaoyuan Feng  PageCacheDB.is_first := cache.io.resp.bits.isFirst
60863632028SHaoyuan Feng  PageCacheDB.prefetched := cache.io.resp.bits.toTlb.entry(0).prefetch
6095afdf73cSHaoyuan Feng  PageCacheDB.prefetch := cache.io.resp.bits.prefetch
6105afdf73cSHaoyuan Feng  PageCacheDB.l2Hit := cache.io.resp.bits.toFsm.l2Hit
6115afdf73cSHaoyuan Feng  PageCacheDB.l1Hit := cache.io.resp.bits.toFsm.l1Hit
6125afdf73cSHaoyuan Feng  PageCacheDB.hit := cache.io.resp.bits.hit
613da3bf434SMaxpicca-Li  PageCacheTable.log(PageCacheDB, isWritePageCacheTable.orR && cache.io.resp.fire, "PageCache", clock, reset)
6145afdf73cSHaoyuan Feng
615da3bf434SMaxpicca-Li  val isWritePTWTable = WireInit(Constantin.createRecord("isWritePTWTable" + p(XSCoreParamsKey).HartId.toString))
6165afdf73cSHaoyuan Feng  val PTWTable = ChiselDB.createTable("PTW_hart" + p(XSCoreParamsKey).HartId.toString, new PTWDB)
6175afdf73cSHaoyuan Feng  val PTWReqDB, PTWRespDB, LLPTWReqDB, LLPTWRespDB = Wire(new PTWDB)
6185afdf73cSHaoyuan Feng  PTWReqDB.vpn := ptw.io.req.bits.req_info.vpn
6195afdf73cSHaoyuan Feng  PTWReqDB.source := ptw.io.req.bits.req_info.source
6205afdf73cSHaoyuan Feng  PTWRespDB.vpn := ptw.io.refill.req_info.vpn
6215afdf73cSHaoyuan Feng  PTWRespDB.source := ptw.io.refill.req_info.source
6225afdf73cSHaoyuan Feng  LLPTWReqDB.vpn := llptw.io.in.bits.req_info.vpn
6235afdf73cSHaoyuan Feng  LLPTWReqDB.source := llptw.io.in.bits.req_info.source
6245afdf73cSHaoyuan Feng  LLPTWRespDB.vpn := llptw.io.mem.refill.vpn
6255afdf73cSHaoyuan Feng  LLPTWRespDB.source := llptw.io.mem.refill.source
626da3bf434SMaxpicca-Li  PTWTable.log(PTWReqDB, isWritePTWTable.orR && ptw.io.req.fire, "PTWReq", clock, reset)
627da3bf434SMaxpicca-Li  PTWTable.log(PTWRespDB, isWritePTWTable.orR && ptw.io.mem.resp.fire, "PTWResp", clock, reset)
628da3bf434SMaxpicca-Li  PTWTable.log(LLPTWReqDB, isWritePTWTable.orR && llptw.io.in.fire, "LLPTWReq", clock, reset)
629da3bf434SMaxpicca-Li  PTWTable.log(LLPTWRespDB, isWritePTWTable.orR && llptw.io.mem.resp.fire, "LLPTWResp", clock, reset)
6305afdf73cSHaoyuan Feng
631da3bf434SMaxpicca-Li  val isWriteL2TlbMissQueueTable = WireInit(Constantin.createRecord("isWriteL2TlbMissQueueTable" + p(XSCoreParamsKey).HartId.toString))
6325afdf73cSHaoyuan Feng  val L2TlbMissQueueTable = ChiselDB.createTable("L2TlbMissQueue_hart" + p(XSCoreParamsKey).HartId.toString, new L2TlbMissQueueDB)
6335afdf73cSHaoyuan Feng  val L2TlbMissQueueInDB, L2TlbMissQueueOutDB = Wire(new L2TlbMissQueueDB)
6345afdf73cSHaoyuan Feng  L2TlbMissQueueInDB.vpn := missQueue.io.in.bits.vpn
6355afdf73cSHaoyuan Feng  L2TlbMissQueueOutDB.vpn := missQueue.io.out.bits.vpn
636da3bf434SMaxpicca-Li  L2TlbMissQueueTable.log(L2TlbMissQueueInDB, isWriteL2TlbMissQueueTable.orR && missQueue.io.in.fire, "L2TlbMissQueueIn", clock, reset)
637da3bf434SMaxpicca-Li  L2TlbMissQueueTable.log(L2TlbMissQueueOutDB, isWriteL2TlbMissQueueTable.orR && missQueue.io.out.fire, "L2TlbMissQueueOut", clock, reset)
63892e3bfefSLemover}
63992e3bfefSLemover
6407797f035SbugGenerator/** BlockHelper, block missqueue, not to send too many req to cache
6417797f035SbugGenerator *  Parameter:
6427797f035SbugGenerator *    enable: enable BlockHelper, mq should not send too many reqs
6437797f035SbugGenerator *    start: when miss queue out fire and need, block miss queue's out
6447797f035SbugGenerator *    block: block miss queue's out
6457797f035SbugGenerator *    latency: last missqueue out's cache access latency
6467797f035SbugGenerator */
6477797f035SbugGeneratorclass BlockHelper(latency: Int)(implicit p: Parameters) extends XSModule {
6487797f035SbugGenerator  val io = IO(new Bundle {
6497797f035SbugGenerator    val enable = Input(Bool())
6507797f035SbugGenerator    val start = Input(Bool())
6517797f035SbugGenerator    val block = Output(Bool())
6527797f035SbugGenerator  })
6537797f035SbugGenerator
6547797f035SbugGenerator  val count = RegInit(0.U(log2Ceil(latency).W))
6557797f035SbugGenerator  val valid = RegInit(false.B)
6567797f035SbugGenerator  val work = RegInit(true.B)
6577797f035SbugGenerator
6587797f035SbugGenerator  io.block := valid
6597797f035SbugGenerator
6607797f035SbugGenerator  when (io.start && work) { valid := true.B }
6617797f035SbugGenerator  when (valid) { count := count + 1.U }
6627797f035SbugGenerator  when (count === (latency.U) || io.enable) {
6637797f035SbugGenerator    valid := false.B
6647797f035SbugGenerator    work := io.enable
6657797f035SbugGenerator    count := 0.U
6667797f035SbugGenerator  }
6677797f035SbugGenerator}
6687797f035SbugGenerator
66992e3bfefSLemoverclass PTEHelper() extends ExtModule {
67092e3bfefSLemover  val clock  = IO(Input(Clock()))
67192e3bfefSLemover  val enable = IO(Input(Bool()))
67292e3bfefSLemover  val satp   = IO(Input(UInt(64.W)))
67392e3bfefSLemover  val vpn    = IO(Input(UInt(64.W)))
67492e3bfefSLemover  val pte    = IO(Output(UInt(64.W)))
67592e3bfefSLemover  val level  = IO(Output(UInt(8.W)))
67692e3bfefSLemover  val pf     = IO(Output(UInt(8.W)))
67792e3bfefSLemover}
67892e3bfefSLemover
6795afdf73cSHaoyuan Fengclass PTWDelayN[T <: Data](gen: T, n: Int, flush: Bool) extends Module {
6805afdf73cSHaoyuan Feng  val io = IO(new Bundle() {
6815afdf73cSHaoyuan Feng    val in = Input(gen)
6825afdf73cSHaoyuan Feng    val out = Output(gen)
6835afdf73cSHaoyuan Feng    val ptwflush = Input(flush.cloneType)
6845afdf73cSHaoyuan Feng  })
6855afdf73cSHaoyuan Feng  val out = RegInit(VecInit(Seq.fill(n)(0.U.asTypeOf(gen))))
6865afdf73cSHaoyuan Feng  val t = RegInit(VecInit(Seq.fill(n)(0.U.asTypeOf(gen))))
6875afdf73cSHaoyuan Feng  out(0) := io.in
6885afdf73cSHaoyuan Feng  if (n == 1) {
6895afdf73cSHaoyuan Feng    io.out := out(0)
6905afdf73cSHaoyuan Feng  } else {
6915afdf73cSHaoyuan Feng    when (io.ptwflush) {
6925afdf73cSHaoyuan Feng      for (i <- 0 until n) {
6935afdf73cSHaoyuan Feng        t(i) := 0.U.asTypeOf(gen)
6945afdf73cSHaoyuan Feng        out(i) := 0.U.asTypeOf(gen)
6955afdf73cSHaoyuan Feng      }
6965afdf73cSHaoyuan Feng      io.out := 0.U.asTypeOf(gen)
6975afdf73cSHaoyuan Feng    } .otherwise {
6985afdf73cSHaoyuan Feng      for (i <- 1 until n) {
6995afdf73cSHaoyuan Feng        t(i-1) := out(i-1)
7005afdf73cSHaoyuan Feng        out(i) := t(i-1)
7015afdf73cSHaoyuan Feng      }
7025afdf73cSHaoyuan Feng      io.out := out(n-1)
7035afdf73cSHaoyuan Feng    }
7045afdf73cSHaoyuan Feng  }
7055afdf73cSHaoyuan Feng}
7065afdf73cSHaoyuan Feng
7075afdf73cSHaoyuan Fengobject PTWDelayN {
7085afdf73cSHaoyuan Feng  def apply[T <: Data](in: T, n: Int, flush: Bool): T = {
7095afdf73cSHaoyuan Feng    val delay = Module(new PTWDelayN(in.cloneType, n, flush))
7105afdf73cSHaoyuan Feng    delay.io.in := in
7115afdf73cSHaoyuan Feng    delay.io.ptwflush := flush
7125afdf73cSHaoyuan Feng    delay.io.out
7135afdf73cSHaoyuan Feng  }
7145afdf73cSHaoyuan Feng}
7155afdf73cSHaoyuan Feng
71692e3bfefSLemoverclass FakePTW()(implicit p: Parameters) extends XSModule with HasPtwConst {
71792e3bfefSLemover  val io = IO(new L2TLBIO)
7185afdf73cSHaoyuan Feng  val flush = VecInit(Seq.fill(PtwWidth)(false.B))
7195afdf73cSHaoyuan Feng  flush(0) := DelayN(io.sfence.valid || io.csr.tlb.satp.changed, itlbParams.fenceDelay)
7205afdf73cSHaoyuan Feng  flush(1) := DelayN(io.sfence.valid || io.csr.tlb.satp.changed, ldtlbParams.fenceDelay)
72192e3bfefSLemover  for (i <- 0 until PtwWidth) {
72292e3bfefSLemover    val helper = Module(new PTEHelper())
72392e3bfefSLemover    helper.clock := clock
72492e3bfefSLemover    helper.satp := io.csr.tlb.satp.ppn
7255afdf73cSHaoyuan Feng
7265afdf73cSHaoyuan Feng    if (coreParams.softPTWDelay == 1) {
7275afdf73cSHaoyuan Feng      helper.enable := io.tlb(i).req(0).fire
72892e3bfefSLemover      helper.vpn := io.tlb(i).req(0).bits.vpn
7295afdf73cSHaoyuan Feng    } else {
7305afdf73cSHaoyuan Feng      helper.enable := PTWDelayN(io.tlb(i).req(0).fire, coreParams.softPTWDelay - 1, flush(i))
7315afdf73cSHaoyuan Feng      helper.vpn := PTWDelayN(io.tlb(i).req(0).bits.vpn, coreParams.softPTWDelay - 1, flush(i))
7325afdf73cSHaoyuan Feng    }
7335afdf73cSHaoyuan Feng
73492e3bfefSLemover    val pte = helper.pte.asTypeOf(new PteBundle)
73592e3bfefSLemover    val level = helper.level
73692e3bfefSLemover    val pf = helper.pf
7375afdf73cSHaoyuan Feng    val empty = RegInit(true.B)
7385afdf73cSHaoyuan Feng    when (io.tlb(i).req(0).fire) {
7395afdf73cSHaoyuan Feng      empty := false.B
7405afdf73cSHaoyuan Feng    } .elsewhen (io.tlb(i).resp.fire || flush(i)) {
7415afdf73cSHaoyuan Feng      empty := true.B
7425afdf73cSHaoyuan Feng    }
74392e3bfefSLemover
7445afdf73cSHaoyuan Feng    io.tlb(i).req(0).ready := empty || io.tlb(i).resp.fire
7455afdf73cSHaoyuan Feng    io.tlb(i).resp.valid := PTWDelayN(io.tlb(i).req(0).fire, coreParams.softPTWDelay, flush(i))
74692e3bfefSLemover    assert(!io.tlb(i).resp.valid || io.tlb(i).resp.ready)
7475afdf73cSHaoyuan Feng    io.tlb(i).resp.bits.entry.tag := PTWDelayN(io.tlb(i).req(0).bits.vpn, coreParams.softPTWDelay, flush(i))
74892e3bfefSLemover    io.tlb(i).resp.bits.entry.ppn := pte.ppn
74992e3bfefSLemover    io.tlb(i).resp.bits.entry.perm.map(_ := pte.getPerm())
75092e3bfefSLemover    io.tlb(i).resp.bits.entry.level.map(_ := level)
75192e3bfefSLemover    io.tlb(i).resp.bits.pf := pf
75292e3bfefSLemover    io.tlb(i).resp.bits.af := DontCare // TODO: implement it
7535afdf73cSHaoyuan Feng    io.tlb(i).resp.bits.entry.v := !pf
7545afdf73cSHaoyuan Feng    io.tlb(i).resp.bits.entry.prefetch := DontCare
7555afdf73cSHaoyuan Feng    io.tlb(i).resp.bits.entry.asid := io.csr.tlb.satp.asid
75692e3bfefSLemover  }
75792e3bfefSLemover}
75892e3bfefSLemover
75992e3bfefSLemoverclass L2TLBWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter {
76095e60e55STang Haojin  override def shouldBeInlined: Boolean = false
76192e3bfefSLemover  val useSoftPTW = coreParams.softPTW
76292e3bfefSLemover  val node = if (!useSoftPTW) TLIdentityNode() else null
76392e3bfefSLemover  val ptw = if (!useSoftPTW) LazyModule(new L2TLB()) else null
76492e3bfefSLemover  if (!useSoftPTW) {
76592e3bfefSLemover    node := ptw.node
76692e3bfefSLemover  }
76792e3bfefSLemover
768935edac4STang Haojin  class L2TLBWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents {
76992e3bfefSLemover    val io = IO(new L2TLBIO)
77092e3bfefSLemover    val perfEvents = if (useSoftPTW) {
77192e3bfefSLemover      val fake_ptw = Module(new FakePTW())
77292e3bfefSLemover      io <> fake_ptw.io
77392e3bfefSLemover      Seq()
77492e3bfefSLemover    }
77592e3bfefSLemover    else {
77692e3bfefSLemover        io <> ptw.module.io
77792e3bfefSLemover        ptw.module.getPerfEvents
77892e3bfefSLemover    }
77992e3bfefSLemover    generatePerfEvent()
78092e3bfefSLemover  }
781935edac4STang Haojin
782935edac4STang Haojin  lazy val module = new L2TLBWrapperImp(this)
78392e3bfefSLemover}
784