192e3bfefSLemover/*************************************************************************************** 292e3bfefSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 392e3bfefSLemover* Copyright (c) 2020-2021 Peng Cheng Laboratory 492e3bfefSLemover* 592e3bfefSLemover* XiangShan is licensed under Mulan PSL v2. 692e3bfefSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 792e3bfefSLemover* You may obtain a copy of Mulan PSL v2 at: 892e3bfefSLemover* http://license.coscl.org.cn/MulanPSL2 992e3bfefSLemover* 1092e3bfefSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 1192e3bfefSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 1292e3bfefSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 1392e3bfefSLemover* 1492e3bfefSLemover* See the Mulan PSL v2 for more details. 1592e3bfefSLemover***************************************************************************************/ 1692e3bfefSLemover 1792e3bfefSLemoverpackage xiangshan.cache.mmu 1892e3bfefSLemover 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 2092e3bfefSLemoverimport chisel3._ 2192e3bfefSLemoverimport chisel3.experimental.ExtModule 2292e3bfefSLemoverimport chisel3.util._ 2392e3bfefSLemoverimport xiangshan._ 2492e3bfefSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 2592e3bfefSLemoverimport utils._ 263c02ee8fSwakafaimport utility._ 2792e3bfefSLemoverimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp} 2892e3bfefSLemoverimport freechips.rocketchip.tilelink._ 2992e3bfefSLemoverimport xiangshan.backend.fu.{PMP, PMPChecker, PMPReqBundle, PMPRespBundle} 3092e3bfefSLemoverimport xiangshan.backend.fu.util.HasCSRConst 319c26bab7SHaoyuan Fengimport difftest._ 3292e3bfefSLemover 3392e3bfefSLemoverclass L2TLB()(implicit p: Parameters) extends LazyModule with HasPtwConst { 3495e60e55STang Haojin override def shouldBeInlined: Boolean = false 3592e3bfefSLemover 3692e3bfefSLemover val node = TLClientNode(Seq(TLMasterPortParameters.v1( 3792e3bfefSLemover clients = Seq(TLMasterParameters.v1( 3892e3bfefSLemover "ptw", 3992e3bfefSLemover sourceId = IdRange(0, MemReqWidth) 40d2b20d1aSTang Haojin )), 41d2b20d1aSTang Haojin requestFields = Seq(ReqSourceField()) 4292e3bfefSLemover ))) 4392e3bfefSLemover 4492e3bfefSLemover lazy val module = new L2TLBImp(this) 4592e3bfefSLemover} 4692e3bfefSLemover 4792e3bfefSLemoverclass L2TLBImp(outer: L2TLB)(implicit p: Parameters) extends PtwModule(outer) with HasCSRConst with HasPerfEvents { 4892e3bfefSLemover 4992e3bfefSLemover val (mem, edge) = outer.node.out.head 5092e3bfefSLemover 5192e3bfefSLemover val io = IO(new L2TLBIO) 5292e3bfefSLemover val difftestIO = IO(new Bundle() { 5392e3bfefSLemover val ptwResp = Output(Bool()) 5492e3bfefSLemover val ptwAddr = Output(UInt(64.W)) 5592e3bfefSLemover val ptwData = Output(Vec(4, UInt(64.W))) 5692e3bfefSLemover }) 5792e3bfefSLemover 5892e3bfefSLemover /* Ptw processes multiple requests 5992e3bfefSLemover * Divide Ptw procedure into two stages: cache access ; mem access if cache miss 6092e3bfefSLemover * miss queue itlb dtlb 6192e3bfefSLemover * | | | 6292e3bfefSLemover * ------arbiter------ 6392e3bfefSLemover * | 6492e3bfefSLemover * l1 - l2 - l3 - sp 6592e3bfefSLemover * | 6692e3bfefSLemover * ------------------------------------------- 6792e3bfefSLemover * miss | queue | hit 6892e3bfefSLemover * [][][][][][] | 6992e3bfefSLemover * | | 7092e3bfefSLemover * state machine accessing mem | 7192e3bfefSLemover * | | 7292e3bfefSLemover * ---------------arbiter--------------------- 7392e3bfefSLemover * | | 7492e3bfefSLemover * itlb dtlb 7592e3bfefSLemover */ 7692e3bfefSLemover 7792e3bfefSLemover difftestIO <> DontCare 7892e3bfefSLemover 797797f035SbugGenerator val sfence_tmp = DelayN(io.sfence, 1) 807797f035SbugGenerator val csr_tmp = DelayN(io.csr.tlb, 1) 81d0de7e4aSpeixiaokun val sfence_dup = Seq.fill(9)(RegNext(sfence_tmp)) 82d0de7e4aSpeixiaokun val csr_dup = Seq.fill(8)(RegNext(csr_tmp)) 837797f035SbugGenerator val satp = csr_dup(0).satp 84d0de7e4aSpeixiaokun val vsatp = csr_dup(0).vsatp 85d0de7e4aSpeixiaokun val hgatp = csr_dup(0).hgatp 867797f035SbugGenerator val priv = csr_dup(0).priv 87d0de7e4aSpeixiaokun val flush = sfence_dup(0).valid || satp.changed || vsatp.changed || hgatp.changed 8892e3bfefSLemover 8992e3bfefSLemover val pmp = Module(new PMP()) 90c3d5cfb3Speixiaokun val pmp_check = VecInit(Seq.fill(3)(Module(new PMPChecker(lgMaxSize = 3, sameCycle = true)).io)) 9192e3bfefSLemover pmp.io.distribute_csr := io.csr.distribute_csr 9292e3bfefSLemover pmp_check.foreach(_.check_env.apply(ModeS, pmp.io.pmp, pmp.io.pma)) 9392e3bfefSLemover 9492e3bfefSLemover val missQueue = Module(new L2TlbMissQueue) 9592e3bfefSLemover val cache = Module(new PtwCache) 9692e3bfefSLemover val ptw = Module(new PTW) 97d0de7e4aSpeixiaokun val hptw = Module(new HPTW) 9892e3bfefSLemover val llptw = Module(new LLPTW) 997797f035SbugGenerator val blockmq = Module(new BlockHelper(3)) 10092e3bfefSLemover val arb1 = Module(new Arbiter(new PtwReq, PtwWidth)) 1016967f5d5Speixiaokun val arb2 = Module(new Arbiter(new L2TlbWithHptwIdBundle, ((if (l2tlbParams.enablePrefetch) 4 else 3) + (if(HasHExtension) 1 else 0)))) 102d0de7e4aSpeixiaokun val hptw_req_arb = Module(new Arbiter(new Bundle { 103d0de7e4aSpeixiaokun val id = UInt(log2Up(l2tlbParams.llptwsize).W) 104eb4bf3f2Speixiaokun val source = UInt(bSourceWidth.W) 10582978df9Speixiaokun val gvpn = UInt(vpnLen.W) 106d0de7e4aSpeixiaokun }, 2)) 107d0de7e4aSpeixiaokun val hptw_resp_arb = Module(new Arbiter(new Bundle { 108d0de7e4aSpeixiaokun val resp = new HptwResp() 109d0de7e4aSpeixiaokun val id = UInt(log2Up(l2tlbParams.llptwsize).W) 110d0de7e4aSpeixiaokun }, 2)) 111d0de7e4aSpeixiaokun val outArb = (0 until PtwWidth).map(i => Module(new Arbiter(new Bundle { 112d0de7e4aSpeixiaokun val s2xlate = UInt(2.W) 113eb4bf3f2Speixiaokun val s1 = new PtwSectorResp () 114eb4bf3f2Speixiaokun val s2 = new HptwResp() 115d0de7e4aSpeixiaokun }, 1)).io) 116d0de7e4aSpeixiaokun val mergeArb = (0 until PtwWidth).map(i => Module(new Arbiter(new Bundle { 117d0de7e4aSpeixiaokun val s2xlate = UInt(2.W) 118eb4bf3f2Speixiaokun val s1 = new PtwMergeResp() 119eb4bf3f2Speixiaokun val s2 = new HptwResp() 120d0de7e4aSpeixiaokun }, 3)).io) 12192e3bfefSLemover val outArbCachePort = 0 12292e3bfefSLemover val outArbFsmPort = 1 12392e3bfefSLemover val outArbMqPort = 2 12492e3bfefSLemover 125d0de7e4aSpeixiaokun // hptw arb input port 126d0de7e4aSpeixiaokun val InHptwArbPTWPort = 0 127d0de7e4aSpeixiaokun val InHptwArbLLPTWPort = 1 128d0de7e4aSpeixiaokun hptw_req_arb.io.in(InHptwArbPTWPort).valid := ptw.io.hptw.req.valid 129d0de7e4aSpeixiaokun hptw_req_arb.io.in(InHptwArbPTWPort).bits.gvpn := ptw.io.hptw.req.bits.gvpn 130d0de7e4aSpeixiaokun hptw_req_arb.io.in(InHptwArbPTWPort).bits.id := ptw.io.hptw.req.bits.id 131c3d5cfb3Speixiaokun hptw_req_arb.io.in(InHptwArbPTWPort).bits.source := ptw.io.hptw.req.bits.source 132d0de7e4aSpeixiaokun ptw.io.hptw.req.ready := hptw_req_arb.io.in(InHptwArbPTWPort).ready 133d0de7e4aSpeixiaokun 134d0de7e4aSpeixiaokun hptw_req_arb.io.in(InHptwArbLLPTWPort).valid := llptw.io.hptw.req.valid 135d0de7e4aSpeixiaokun hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.gvpn := llptw.io.hptw.req.bits.gvpn 136d0de7e4aSpeixiaokun hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.id := llptw.io.hptw.req.bits.id 137eb4bf3f2Speixiaokun hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.source := llptw.io.hptw.req.bits.source 138d0de7e4aSpeixiaokun llptw.io.hptw.req.ready := hptw_req_arb.io.in(InHptwArbLLPTWPort).ready 139d0de7e4aSpeixiaokun 1409c503409SLemover // arb2 input port 1419c503409SLemover val InArbPTWPort = 0 1429c503409SLemover val InArbMissQueuePort = 1 1439c503409SLemover val InArbTlbPort = 2 1449c503409SLemover val InArbPrefetchPort = 3 145d0de7e4aSpeixiaokun val InArbHPTWPort = 4 14692e3bfefSLemover // NOTE: when cache out but miss and ptw doesnt accept, 14792e3bfefSLemover arb1.io.in <> VecInit(io.tlb.map(_.req(0))) 148eb4bf3f2Speixiaokun 14992e3bfefSLemover 1509c503409SLemover arb2.io.in(InArbPTWPort).valid := ptw.io.llptw.valid 1516967f5d5Speixiaokun arb2.io.in(InArbPTWPort).bits.req_info := ptw.io.llptw.bits.req_info 1526967f5d5Speixiaokun arb2.io.in(InArbPTWPort).bits.isHptw := false.B 1536967f5d5Speixiaokun arb2.io.in(InArbPTWPort).bits.hptwId := DontCare 1549c503409SLemover ptw.io.llptw.ready := arb2.io.in(InArbPTWPort).ready 155*c6dbf328Speixiaokun block_decoupled(missQueue.io.out, arb2.io.in(InArbMissQueuePort), Mux(missQueue.io.out.bits.isHptw, !hptw.io.req.ready, !ptw.io.req.ready)) 1567797f035SbugGenerator 15792e3bfefSLemover arb2.io.in(InArbTlbPort).valid := arb1.io.out.valid 1586967f5d5Speixiaokun arb2.io.in(InArbTlbPort).bits.req_info.vpn := arb1.io.out.bits.vpn 1596967f5d5Speixiaokun arb2.io.in(InArbTlbPort).bits.req_info.s2xlate := arb1.io.out.bits.s2xlate 1606967f5d5Speixiaokun arb2.io.in(InArbTlbPort).bits.req_info.source := arb1.io.chosen 1616967f5d5Speixiaokun arb2.io.in(InArbTlbPort).bits.isHptw := false.B 1626967f5d5Speixiaokun arb2.io.in(InArbTlbPort).bits.hptwId := DontCare 163eb4bf3f2Speixiaokun arb1.io.out.ready := arb2.io.in(InArbTlbPort).ready 164d0de7e4aSpeixiaokun 165d0de7e4aSpeixiaokun arb2.io.in(InArbHPTWPort).valid := hptw_req_arb.io.out.valid 1666967f5d5Speixiaokun arb2.io.in(InArbHPTWPort).bits.req_info.vpn := hptw_req_arb.io.out.bits.gvpn 1676967f5d5Speixiaokun arb2.io.in(InArbHPTWPort).bits.req_info.s2xlate := onlyStage2 1686967f5d5Speixiaokun arb2.io.in(InArbHPTWPort).bits.req_info.source := hptw_req_arb.io.out.bits.source 1696967f5d5Speixiaokun arb2.io.in(InArbHPTWPort).bits.isHptw := true.B 1706967f5d5Speixiaokun arb2.io.in(InArbHPTWPort).bits.hptwId := hptw_req_arb.io.out.bits.id 171eb4bf3f2Speixiaokun hptw_req_arb.io.out.ready := arb2.io.in(InArbHPTWPort).ready 17292e3bfefSLemover if (l2tlbParams.enablePrefetch) { 17392e3bfefSLemover val prefetch = Module(new L2TlbPrefetch()) 17492e3bfefSLemover val recv = cache.io.resp 17592e3bfefSLemover // NOTE: 1. prefetch doesn't gen prefetch 2. req from mq doesn't gen prefetch 17692e3bfefSLemover // NOTE: 1. miss req gen prefetch 2. hit but prefetched gen prefetch 177935edac4STang Haojin prefetch.io.in.valid := recv.fire && !from_pre(recv.bits.req_info.source) && (!recv.bits.hit || 17892e3bfefSLemover recv.bits.prefetch) && recv.bits.isFirst 17992e3bfefSLemover prefetch.io.in.bits.vpn := recv.bits.req_info.vpn 1807797f035SbugGenerator prefetch.io.sfence := sfence_dup(0) 1817797f035SbugGenerator prefetch.io.csr := csr_dup(0) 18292e3bfefSLemover arb2.io.in(InArbPrefetchPort) <> prefetch.io.out 1835afdf73cSHaoyuan Feng 184da3bf434SMaxpicca-Li val isWriteL2TlbPrefetchTable = WireInit(Constantin.createRecord("isWriteL2TlbPrefetchTable" + p(XSCoreParamsKey).HartId.toString)) 1855afdf73cSHaoyuan Feng val L2TlbPrefetchTable = ChiselDB.createTable("L2TlbPrefetch_hart" + p(XSCoreParamsKey).HartId.toString, new L2TlbPrefetchDB) 1865afdf73cSHaoyuan Feng val L2TlbPrefetchDB = Wire(new L2TlbPrefetchDB) 1876967f5d5Speixiaokun L2TlbPrefetchDB.vpn := prefetch.io.out.bits.req_info.vpn 188da3bf434SMaxpicca-Li L2TlbPrefetchTable.log(L2TlbPrefetchDB, isWriteL2TlbPrefetchTable.orR && prefetch.io.out.fire, "L2TlbPrefetch", clock, reset) 18992e3bfefSLemover } 19092e3bfefSLemover arb2.io.out.ready := cache.io.req.ready 19192e3bfefSLemover 1927797f035SbugGenerator 1936967f5d5Speixiaokun val mq_arb = Module(new Arbiter(new L2TlbWithHptwIdBundle, 2)) 1947797f035SbugGenerator mq_arb.io.in(0).valid := cache.io.resp.valid && !cache.io.resp.bits.hit && 1956967f5d5Speixiaokun ((!cache.io.resp.bits.toFsm.l2Hit && !cache.io.resp.bits.isHptw) || cache.io.resp.bits.bypassed) && 1966967f5d5Speixiaokun !from_pre(cache.io.resp.bits.req_info.source) && 1977797f035SbugGenerator (cache.io.resp.bits.bypassed || cache.io.resp.bits.isFirst || !ptw.io.req.ready) 1986967f5d5Speixiaokun mq_arb.io.in(0).bits.req_info := cache.io.resp.bits.req_info 1996967f5d5Speixiaokun mq_arb.io.in(0).bits.isHptw := cache.io.resp.bits.isHptw 2006967f5d5Speixiaokun mq_arb.io.in(0).bits.hptwId := cache.io.resp.bits.toHptw.id 2016967f5d5Speixiaokun mq_arb.io.in(1).bits.req_info := llptw.io.cache.bits 2026967f5d5Speixiaokun mq_arb.io.in(1).bits.isHptw := false.B 2036967f5d5Speixiaokun mq_arb.io.in(1).bits.hptwId := DontCare 2046967f5d5Speixiaokun mq_arb.io.in(1).valid := llptw.io.cache.valid 2056967f5d5Speixiaokun llptw.io.cache.ready := mq_arb.io.in(1).ready 2067797f035SbugGenerator missQueue.io.in <> mq_arb.io.out 2077797f035SbugGenerator missQueue.io.sfence := sfence_dup(6) 2087797f035SbugGenerator missQueue.io.csr := csr_dup(5) 2097797f035SbugGenerator 2107797f035SbugGenerator blockmq.io.start := missQueue.io.out.fire 211935edac4STang Haojin blockmq.io.enable := ptw.io.req.fire 2127797f035SbugGenerator 213d0de7e4aSpeixiaokun llptw.io.in.valid := cache.io.resp.valid && 214d0de7e4aSpeixiaokun !cache.io.resp.bits.hit && 215d0de7e4aSpeixiaokun cache.io.resp.bits.toFsm.l2Hit && 216d0de7e4aSpeixiaokun !cache.io.resp.bits.bypassed && 217d0de7e4aSpeixiaokun !cache.io.resp.bits.isHptw 2189c503409SLemover llptw.io.in.bits.req_info := cache.io.resp.bits.req_info 2199c503409SLemover llptw.io.in.bits.ppn := cache.io.resp.bits.toFsm.ppn 2207797f035SbugGenerator llptw.io.sfence := sfence_dup(1) 2217797f035SbugGenerator llptw.io.csr := csr_dup(1) 22292e3bfefSLemover 22392e3bfefSLemover cache.io.req.valid := arb2.io.out.valid 2246967f5d5Speixiaokun cache.io.req.bits.req_info := arb2.io.out.bits.req_info 2256967f5d5Speixiaokun cache.io.req.bits.isFirst := (arb2.io.chosen =/= InArbMissQueuePort.U && !arb2.io.out.bits.isHptw) 2266967f5d5Speixiaokun cache.io.req.bits.isHptw := arb2.io.out.bits.isHptw 2276967f5d5Speixiaokun cache.io.req.bits.hptwId := arb2.io.out.bits.hptwId 2281f4a7c0cSLemover cache.io.req.bits.bypassed.map(_ := false.B) 2297797f035SbugGenerator cache.io.sfence := sfence_dup(2) 2307797f035SbugGenerator cache.io.csr := csr_dup(2) 2317797f035SbugGenerator cache.io.sfence_dup.zip(sfence_dup.drop(2).take(4)).map(s => s._1 := s._2) 2327797f035SbugGenerator cache.io.csr_dup.zip(csr_dup.drop(2).take(3)).map(c => c._1 := c._2) 2334c4af37cSpeixiaokun cache.io.resp.ready := MuxCase(mq_arb.io.in(0).ready || ptw.io.req.ready, Seq( 2344c4af37cSpeixiaokun cache.io.resp.bits.hit -> outReady(cache.io.resp.bits.req_info.source, outArbCachePort), 2354c4af37cSpeixiaokun (cache.io.resp.bits.toFsm.l2Hit && !cache.io.resp.bits.bypassed) -> llptw.io.in.ready, 2366967f5d5Speixiaokun (cache.io.resp.bits.bypassed || cache.io.resp.bits.isFirst) -> mq_arb.io.in(0).ready, 2374c4af37cSpeixiaokun (cache.io.resp.bits.isHptw) -> hptw.io.req.ready 2384c4af37cSpeixiaokun )) 23992e3bfefSLemover 24092e3bfefSLemover // NOTE: missQueue req has higher priority 2417797f035SbugGenerator ptw.io.req.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && !cache.io.resp.bits.toFsm.l2Hit && 2427797f035SbugGenerator !cache.io.resp.bits.bypassed && 243d0de7e4aSpeixiaokun !cache.io.resp.bits.isFirst && 244d0de7e4aSpeixiaokun !cache.io.resp.bits.isHptw 24592e3bfefSLemover ptw.io.req.bits.req_info := cache.io.resp.bits.req_info 24692e3bfefSLemover ptw.io.req.bits.l1Hit := cache.io.resp.bits.toFsm.l1Hit 24792e3bfefSLemover ptw.io.req.bits.ppn := cache.io.resp.bits.toFsm.ppn 24830104977Speixiaokun ptw.io.req.bits.stage1Hit := cache.io.resp.bits.toFsm.stage1Hit 24930104977Speixiaokun ptw.io.req.bits.stage1 := cache.io.resp.bits.toTlb 2507797f035SbugGenerator ptw.io.sfence := sfence_dup(7) 2517797f035SbugGenerator ptw.io.csr := csr_dup(6) 25292e3bfefSLemover ptw.io.resp.ready := outReady(ptw.io.resp.bits.source, outArbFsmPort) 25392e3bfefSLemover 254d0de7e4aSpeixiaokun hptw.io.req.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && !cache.io.resp.bits.bypassed & cache.io.resp.bits.isHptw 25582978df9Speixiaokun hptw.io.req.bits.gvpn := cache.io.resp.bits.req_info.vpn 256d0de7e4aSpeixiaokun hptw.io.req.bits.id := cache.io.resp.bits.toHptw.id 257c3d5cfb3Speixiaokun hptw.io.req.bits.source := cache.io.resp.bits.req_info.source 258d0de7e4aSpeixiaokun hptw.io.req.bits.l1Hit := cache.io.resp.bits.toHptw.l1Hit 259d0de7e4aSpeixiaokun hptw.io.req.bits.l2Hit := cache.io.resp.bits.toHptw.l2Hit 260979f601eSpeixiaokun hptw.io.req.bits.ppn := cache.io.resp.bits.toHptw.ppn 261d0de7e4aSpeixiaokun hptw.io.sfence := sfence_dup(8) 262d0de7e4aSpeixiaokun hptw.io.csr := csr_dup(7) 26392e3bfefSLemover // mem req 26492e3bfefSLemover def blockBytes_align(addr: UInt) = { 26592e3bfefSLemover Cat(addr(PAddrBits - 1, log2Up(l2tlbParams.blockBytes)), 0.U(log2Up(l2tlbParams.blockBytes).W)) 26692e3bfefSLemover } 26792e3bfefSLemover def addr_low_from_vpn(vpn: UInt) = { 26892e3bfefSLemover vpn(log2Ceil(l2tlbParams.blockBytes)-log2Ceil(XLEN/8)-1, 0) 26992e3bfefSLemover } 27092e3bfefSLemover def addr_low_from_paddr(paddr: UInt) = { 27192e3bfefSLemover paddr(log2Up(l2tlbParams.blockBytes)-1, log2Up(XLEN/8)) 27292e3bfefSLemover } 273d0de7e4aSpeixiaokun def from_llptw(id: UInt) = { 274d0de7e4aSpeixiaokun id < l2tlbParams.llptwsize.U 275d0de7e4aSpeixiaokun } 276d0de7e4aSpeixiaokun def from_ptw(id: UInt) = { 277d0de7e4aSpeixiaokun id === l2tlbParams.llptwsize.U 278d0de7e4aSpeixiaokun } 279d0de7e4aSpeixiaokun def from_hptw(id: UInt) = { 280d0de7e4aSpeixiaokun id === l2tlbParams.llptwsize.U + 1.U 28192e3bfefSLemover } 28292e3bfefSLemover val waiting_resp = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B))) 28392e3bfefSLemover val flush_latch = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B))) 28492e3bfefSLemover for (i <- waiting_resp.indices) { 28592e3bfefSLemover assert(!flush_latch(i) || waiting_resp(i)) // when sfence_latch wait for mem resp, waiting_resp should be true 28692e3bfefSLemover } 28792e3bfefSLemover 28892e3bfefSLemover val llptw_out = llptw.io.out 28992e3bfefSLemover val llptw_mem = llptw.io.mem 29092e3bfefSLemover llptw_mem.req_mask := waiting_resp.take(l2tlbParams.llptwsize) 291d61cd5eeSpeixiaokun ptw.io.mem.mask := waiting_resp.apply(l2tlbParams.llptwsize) 292d61cd5eeSpeixiaokun hptw.io.mem.mask := waiting_resp.apply(l2tlbParams.llptwsize + 1) 29392e3bfefSLemover 294d0de7e4aSpeixiaokun val mem_arb = Module(new Arbiter(new L2TlbMemReqBundle(), 3)) 29592e3bfefSLemover mem_arb.io.in(0) <> ptw.io.mem.req 29692e3bfefSLemover mem_arb.io.in(1) <> llptw_mem.req 297d0de7e4aSpeixiaokun mem_arb.io.in(2) <> hptw.io.mem.req 29892e3bfefSLemover mem_arb.io.out.ready := mem.a.ready && !flush 29992e3bfefSLemover 3001f4a7c0cSLemover // assert, should not send mem access at same addr for twice. 3017797f035SbugGenerator val last_resp_vpn = RegEnable(cache.io.refill.bits.req_info_dup(0).vpn, cache.io.refill.valid) 302980ddf4cSpeixiaokun val last_resp_s2xlate = RegEnable(cache.io.refill.bits.req_info_dup(0).s2xlate, cache.io.refill.valid) 3037797f035SbugGenerator val last_resp_level = RegEnable(cache.io.refill.bits.level_dup(0), cache.io.refill.valid) 3041f4a7c0cSLemover val last_resp_v = RegInit(false.B) 305dd7fe201SHaoyuan Feng val last_has_invalid = !Cat(cache.io.refill.bits.ptes.asTypeOf(Vec(blockBits/XLEN, UInt(XLEN.W))).map(a => a(0))).andR || cache.io.refill.bits.sel_pte_dup(0).asTypeOf(new PteBundle).isAf() 3061f4a7c0cSLemover when (cache.io.refill.valid) { last_resp_v := !last_has_invalid} 3071f4a7c0cSLemover when (flush) { last_resp_v := false.B } 3081f4a7c0cSLemover XSError(last_resp_v && cache.io.refill.valid && 3097797f035SbugGenerator (cache.io.refill.bits.req_info_dup(0).vpn === last_resp_vpn) && 310980ddf4cSpeixiaokun (cache.io.refill.bits.level_dup(0) === last_resp_level) && 311980ddf4cSpeixiaokun (cache.io.refill.bits.req_info_dup(0).s2xlate === last_resp_s2xlate), 3121f4a7c0cSLemover "l2tlb should not access mem at same addr for twice") 313d0de7e4aSpeixiaokun // ATTENTION: this may wrongly assert when: a ptes is l2, last part is valid, 3141f4a7c0cSLemover // but the current part is invalid, so one more mem access happened 3151f4a7c0cSLemover // If this happened, remove the assert. 3161f4a7c0cSLemover 31792e3bfefSLemover val req_addr_low = Reg(Vec(MemReqWidth, UInt((log2Up(l2tlbParams.blockBytes)-log2Up(XLEN/8)).W))) 31892e3bfefSLemover 319935edac4STang Haojin when (llptw.io.in.fire) { 32092e3bfefSLemover // when enq miss queue, set the req_addr_low to receive the mem resp data part 32192e3bfefSLemover req_addr_low(llptw_mem.enq_ptr) := addr_low_from_vpn(llptw.io.in.bits.req_info.vpn) 32292e3bfefSLemover } 323935edac4STang Haojin when (mem_arb.io.out.fire) { 32492e3bfefSLemover req_addr_low(mem_arb.io.out.bits.id) := addr_low_from_paddr(mem_arb.io.out.bits.addr) 32592e3bfefSLemover waiting_resp(mem_arb.io.out.bits.id) := true.B 32692e3bfefSLemover } 32792e3bfefSLemover // mem read 32892e3bfefSLemover val memRead = edge.Get( 32992e3bfefSLemover fromSource = mem_arb.io.out.bits.id, 33092e3bfefSLemover // toAddress = memAddr(log2Up(CacheLineSize / 2 / 8) - 1, 0), 33192e3bfefSLemover toAddress = blockBytes_align(mem_arb.io.out.bits.addr), 33292e3bfefSLemover lgSize = log2Up(l2tlbParams.blockBytes).U 33392e3bfefSLemover )._2 33492e3bfefSLemover mem.a.bits := memRead 33592e3bfefSLemover mem.a.valid := mem_arb.io.out.valid && !flush 336d2b20d1aSTang Haojin mem.a.bits.user.lift(ReqSourceKey).foreach(_ := MemReqSource.PTW.id.U) 33792e3bfefSLemover mem.d.ready := true.B 33892e3bfefSLemover // mem -> data buffer 33992e3bfefSLemover val refill_data = Reg(Vec(blockBits / l1BusDataWidth, UInt(l1BusDataWidth.W))) 340935edac4STang Haojin val refill_helper = edge.firstlastHelper(mem.d.bits, mem.d.fire) 34192e3bfefSLemover val mem_resp_done = refill_helper._3 342d0de7e4aSpeixiaokun val mem_resp_from_llptw = from_llptw(mem.d.bits.source) 343d0de7e4aSpeixiaokun val mem_resp_from_ptw = from_ptw(mem.d.bits.source) 344d0de7e4aSpeixiaokun val mem_resp_from_hptw = from_hptw(mem.d.bits.source) 34592e3bfefSLemover when (mem.d.valid) { 346d0de7e4aSpeixiaokun assert(mem.d.bits.source < MemReqWidth.U) 34792e3bfefSLemover refill_data(refill_helper._4) := mem.d.bits.data 34892e3bfefSLemover } 3497797f035SbugGenerator // refill_data_tmp is the wire fork of refill_data, but one cycle earlier 3507797f035SbugGenerator val refill_data_tmp = WireInit(refill_data) 3517797f035SbugGenerator refill_data_tmp(refill_helper._4) := mem.d.bits.data 3527797f035SbugGenerator 35392e3bfefSLemover // save only one pte for each id 35492e3bfefSLemover // (miss queue may can't resp to tlb with low latency, it should have highest priority, but diffcult to design cache) 35592e3bfefSLemover val resp_pte = VecInit((0 until MemReqWidth).map(i => 356d0de7e4aSpeixiaokun if (i == l2tlbParams.llptwsize + 1) {RegEnable(get_part(refill_data_tmp, req_addr_low(i)), mem_resp_done && mem_resp_from_hptw) } 357d0de7e4aSpeixiaokun else if (i == l2tlbParams.llptwsize) {RegEnable(get_part(refill_data_tmp, req_addr_low(i)), mem_resp_done && mem_resp_from_ptw) } 35892e3bfefSLemover else { DataHoldBypass(get_part(refill_data, req_addr_low(i)), llptw_mem.buffer_it(i)) } 3597797f035SbugGenerator // llptw could not use refill_data_tmp, because enq bypass's result works at next cycle 36092e3bfefSLemover )) 36192e3bfefSLemover 36263632028SHaoyuan Feng // save eight ptes for each id when sector tlb 36363632028SHaoyuan Feng // (miss queue may can't resp to tlb with low latency, it should have highest priority, but diffcult to design cache) 36463632028SHaoyuan Feng val resp_pte_sector = VecInit((0 until MemReqWidth).map(i => 365d0de7e4aSpeixiaokun if (i == l2tlbParams.llptwsize + 1) {RegEnable(refill_data_tmp, mem_resp_done && mem_resp_from_hptw) } 366d0de7e4aSpeixiaokun else if (i == l2tlbParams.llptwsize) {RegEnable(refill_data_tmp, mem_resp_done && mem_resp_from_ptw) } 36763632028SHaoyuan Feng else { DataHoldBypass(refill_data, llptw_mem.buffer_it(i)) } 36863632028SHaoyuan Feng // llptw could not use refill_data_tmp, because enq bypass's result works at next cycle 36963632028SHaoyuan Feng )) 37063632028SHaoyuan Feng 371d0de7e4aSpeixiaokun // mem -> llptw 372d0de7e4aSpeixiaokun llptw_mem.resp.valid := mem_resp_done && mem_resp_from_llptw 3737797f035SbugGenerator llptw_mem.resp.bits.id := DataHoldBypass(mem.d.bits.source, mem.d.valid) 3743d826d1cSpeixiaokun llptw_mem.resp.bits.value := DataHoldBypass(get_part(refill_data_tmp, req_addr_low(mem.d.bits.source)), mem.d.valid) 37592e3bfefSLemover // mem -> ptw 3764c4af37cSpeixiaokun // ptw.io.mem.req.ready := mem.a.ready 377d0de7e4aSpeixiaokun ptw.io.mem.resp.valid := mem_resp_done && mem_resp_from_ptw 378d61cd5eeSpeixiaokun ptw.io.mem.resp.bits := resp_pte.apply(l2tlbParams.llptwsize) 379d0de7e4aSpeixiaokun // mem -> hptw 3804c4af37cSpeixiaokun // hptw.io.mem.req.ready := mem.a.ready 381d0de7e4aSpeixiaokun hptw.io.mem.resp.valid := mem_resp_done && mem_resp_from_hptw 382d61cd5eeSpeixiaokun hptw.io.mem.resp.bits := resp_pte.apply(l2tlbParams.llptwsize + 1) 38392e3bfefSLemover // mem -> cache 384d0de7e4aSpeixiaokun val refill_from_llptw = mem_resp_from_llptw 385d0de7e4aSpeixiaokun val refill_from_ptw = mem_resp_from_ptw 386d0de7e4aSpeixiaokun val refill_from_hptw = mem_resp_from_hptw 3870214776eSpeixiaokun val refill_level = Mux(refill_from_llptw, 2.U, Mux(refill_from_ptw, RegEnable(ptw.io.refill.level, 0.U, ptw.io.mem.req.fire), RegEnable(hptw.io.refill.level, 0.U, hptw.io.mem.req.fire))) 3887797f035SbugGenerator val refill_valid = mem_resp_done && !flush && !flush_latch(mem.d.bits.source) 3897797f035SbugGenerator 3907797f035SbugGenerator cache.io.refill.valid := RegNext(refill_valid, false.B) 39192e3bfefSLemover cache.io.refill.bits.ptes := refill_data.asUInt 392d0de7e4aSpeixiaokun cache.io.refill.bits.req_info_dup.map(_ := RegEnable(Mux(refill_from_llptw, llptw_mem.refill, Mux(refill_from_ptw, ptw.io.refill.req_info, hptw.io.refill.req_info)), refill_valid)) 3937797f035SbugGenerator cache.io.refill.bits.level_dup.map(_ := RegEnable(refill_level, refill_valid)) 3947797f035SbugGenerator cache.io.refill.bits.levelOH(refill_level, refill_valid) 3957797f035SbugGenerator cache.io.refill.bits.sel_pte_dup.map(_ := RegNext(sel_data(refill_data_tmp.asUInt, req_addr_low(mem.d.bits.source)))) 39692e3bfefSLemover 3979c26bab7SHaoyuan Feng if (env.EnableDifftest) { 3989c26bab7SHaoyuan Feng val difftest_ptw_addr = RegInit(VecInit(Seq.fill(MemReqWidth)(0.U(PAddrBits.W)))) 3999c26bab7SHaoyuan Feng when (mem.a.valid) { 4009c26bab7SHaoyuan Feng difftest_ptw_addr(mem.a.bits.source) := mem.a.bits.address 4019c26bab7SHaoyuan Feng } 4029c26bab7SHaoyuan Feng 403a0c65233SYinan Xu val difftest = DifftestModule(new DiffRefillEvent, dontCare = true) 404254e4960SHaoyuan Feng difftest.coreid := io.hartId 4057d45a146SYinan Xu difftest.index := 2.U 4067d45a146SYinan Xu difftest.valid := cache.io.refill.valid 4077d45a146SYinan Xu difftest.addr := difftest_ptw_addr(RegNext(mem.d.bits.source)) 4087d45a146SYinan Xu difftest.data := refill_data.asTypeOf(difftest.data) 409935edac4STang Haojin difftest.idtfr := DontCare 4109c26bab7SHaoyuan Feng } 4119c26bab7SHaoyuan Feng 4125ab1b84dSHaoyuan Feng if (env.EnableDifftest) { 4135ab1b84dSHaoyuan Feng for (i <- 0 until PtwWidth) { 4147d45a146SYinan Xu val difftest = DifftestModule(new DiffL2TLBEvent) 41587d0ba30Speixiaokun difftest.clock := clock 41687d0ba30Speixiaokun difftest.coreid := p(XSCoreParamsKey).HartId.asUInt 417d61cd5eeSpeixiaokun difftest.valid := io.tlb(i).resp.fire && !io.tlb(i).resp.bits.s1.af && !io.tlb(i).resp.bits.s2.gaf 4187d45a146SYinan Xu difftest.index := i.U 41987d0ba30Speixiaokun difftest.vpn := Cat(io.tlb(i).resp.bits.s1.entry.tag, 0.U(sectortlbwidth.W)) 42063632028SHaoyuan Feng for (j <- 0 until tlbcontiguous) { 4217d45a146SYinan Xu difftest.ppn(j) := Cat(io.tlb(i).resp.bits.entry.ppn, io.tlb(i).resp.bits.ppn_low(j)) 4227d45a146SYinan Xu difftest.valididx(j) := io.tlb(i).resp.bits.valididx(j) 42387d0ba30Speixiaokun difftest.pteidx(j) := io.tlb(i).resp.bits.s1.pteidx(j) 42463632028SHaoyuan Feng } 42587d0ba30Speixiaokun difftest.perm := io.tlb(i).resp.bits.s1.entry.perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt 42687d0ba30Speixiaokun difftest.level := io.tlb(i).resp.bits.s1.entry.level.getOrElse(0.U.asUInt) 42787d0ba30Speixiaokun difftest.pf := io.tlb(i).resp.bits.s1.pf 42887d0ba30Speixiaokun difftest.satp := Cat(io.csr.tlb.satp.mode, io.csr.tlb.satp.asid, io.csr.tlb.satp.ppn) 42987d0ba30Speixiaokun difftest.vsatp := Cat(io.csr.tlb.vsatp.mode, io.csr.tlb.vsatp.asid, io.csr.tlb.vsatp.ppn) 43087d0ba30Speixiaokun difftest.hgatp := Cat(io.csr.tlb.hgatp.mode, io.csr.tlb.hgatp.asid, io.csr.tlb.hgatp.ppn) 43187d0ba30Speixiaokun difftest.gvpn := io.tlb(i).resp.bits.s2.entry.tag 43287d0ba30Speixiaokun difftest.g_perm := io.tlb(i).resp.bits.s2.entry.perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt 43387d0ba30Speixiaokun difftest.g_level := io.tlb(i).resp.bits.s2.entry.level.getOrElse(0.U.asUInt) 43487d0ba30Speixiaokun difftest.s2ppn := io.tlb(i).resp.bits.s2.entry.ppn 43587d0ba30Speixiaokun difftest.gpf := io.tlb(i).resp.bits.s2.gpf 43687d0ba30Speixiaokun difftest.s2xlate := io.tlb(i).resp.bits.s2xlate 4375ab1b84dSHaoyuan Feng } 4385ab1b84dSHaoyuan Feng } 4395ab1b84dSHaoyuan Feng 44092e3bfefSLemover // pmp 44192e3bfefSLemover pmp_check(0).req <> ptw.io.pmp.req 44292e3bfefSLemover ptw.io.pmp.resp <> pmp_check(0).resp 44392e3bfefSLemover pmp_check(1).req <> llptw.io.pmp.req 44492e3bfefSLemover llptw.io.pmp.resp <> pmp_check(1).resp 445c3d5cfb3Speixiaokun pmp_check(2).req <> hptw.io.pmp.req 446c3d5cfb3Speixiaokun hptw.io.pmp.resp <> pmp_check(2).resp 44792e3bfefSLemover 44892e3bfefSLemover llptw_out.ready := outReady(llptw_out.bits.req_info.source, outArbMqPort) 44963632028SHaoyuan Feng 450d0de7e4aSpeixiaokun // hptw and page cache -> ptw and llptw 451d0de7e4aSpeixiaokun val HptwRespArbCachePort = 0 452eb4bf3f2Speixiaokun val HptwRespArbHptw = 1 453d0de7e4aSpeixiaokun hptw_resp_arb.io.in(HptwRespArbCachePort).valid := cache.io.resp.valid && cache.io.resp.bits.hit && cache.io.resp.bits.isHptw 454d0de7e4aSpeixiaokun hptw_resp_arb.io.in(HptwRespArbCachePort).bits.id := cache.io.resp.bits.toHptw.id 455d0de7e4aSpeixiaokun hptw_resp_arb.io.in(HptwRespArbCachePort).bits.resp := cache.io.resp.bits.toHptw.resp 456d0de7e4aSpeixiaokun hptw_resp_arb.io.in(HptwRespArbHptw).valid := hptw.io.resp.valid 457d0de7e4aSpeixiaokun hptw_resp_arb.io.in(HptwRespArbHptw).bits.id := hptw.io.resp.bits.id 458d0de7e4aSpeixiaokun hptw_resp_arb.io.in(HptwRespArbHptw).bits.resp := hptw.io.resp.bits.resp 459d0de7e4aSpeixiaokun 460d0de7e4aSpeixiaokun ptw.io.hptw.resp.valid := hptw_resp_arb.io.out.valid && hptw_resp_arb.io.out.bits.id === FsmReqID.U 461d0de7e4aSpeixiaokun ptw.io.hptw.resp.bits.h_resp := hptw_resp_arb.io.out.bits.resp 462d0de7e4aSpeixiaokun llptw.io.hptw.resp.valid := hptw_resp_arb.io.out.valid && hptw_resp_arb.io.out.bits.id =/= FsmReqID.U 463c3d5cfb3Speixiaokun llptw.io.hptw.resp.bits.id := hptw_resp_arb.io.out.bits.id 464d0de7e4aSpeixiaokun llptw.io.hptw.resp.bits.h_resp := hptw_resp_arb.io.out.bits.resp 465c3d5cfb3Speixiaokun hptw_resp_arb.io.out.ready := true.B 466d0de7e4aSpeixiaokun 46763632028SHaoyuan Feng // Timing: Maybe need to do some optimization or even add one more cycle 46892e3bfefSLemover for (i <- 0 until PtwWidth) { 469aa593963Speixiaokun mergeArb(i).in(outArbCachePort).valid := cache.io.resp.valid && cache.io.resp.bits.hit && cache.io.resp.bits.req_info.source===i.U && !cache.io.resp.bits.isHptw 470d0de7e4aSpeixiaokun mergeArb(i).in(outArbCachePort).bits.s2xlate := cache.io.resp.bits.req_info.s2xlate 471eb4bf3f2Speixiaokun mergeArb(i).in(outArbCachePort).bits.s1 := cache.io.resp.bits.toTlb 472eb4bf3f2Speixiaokun mergeArb(i).in(outArbCachePort).bits.s2 := cache.io.resp.bits.toHptw.resp 47363632028SHaoyuan Feng mergeArb(i).in(outArbFsmPort).valid := ptw.io.resp.valid && ptw.io.resp.bits.source===i.U 474d0de7e4aSpeixiaokun mergeArb(i).in(outArbFsmPort).bits.s2xlate := ptw.io.resp.bits.s2xlate 475eb4bf3f2Speixiaokun mergeArb(i).in(outArbFsmPort).bits.s1 := ptw.io.resp.bits.resp 476eb4bf3f2Speixiaokun mergeArb(i).in(outArbFsmPort).bits.s2 := ptw.io.resp.bits.h_resp 47763632028SHaoyuan Feng mergeArb(i).in(outArbMqPort).valid := llptw_out.valid && llptw_out.bits.req_info.source===i.U 478d0de7e4aSpeixiaokun mergeArb(i).in(outArbMqPort).bits.s2xlate := llptw_out.bits.req_info.s2xlate 479eb4bf3f2Speixiaokun mergeArb(i).in(outArbMqPort).bits.s1 := contiguous_pte_to_merge_ptwResp(resp_pte_sector(llptw_out.bits.id).asUInt, llptw_out.bits.req_info.vpn, llptw_out.bits.af, true, s2xlate = llptw_out.bits.req_info.s2xlate) 480eb4bf3f2Speixiaokun mergeArb(i).in(outArbMqPort).bits.s2 := llptw_out.bits.h_resp 48163632028SHaoyuan Feng mergeArb(i).out.ready := outArb(i).in(0).ready 48263632028SHaoyuan Feng } 48363632028SHaoyuan Feng 48463632028SHaoyuan Feng for (i <- 0 until PtwWidth) { 48563632028SHaoyuan Feng outArb(i).in(0).valid := mergeArb(i).out.valid 486eb4bf3f2Speixiaokun outArb(i).in(0).bits.s2xlate := mergeArb(i).out.bits.s2xlate 487eb4bf3f2Speixiaokun outArb(i).in(0).bits.s1 := merge_ptwResp_to_sector_ptwResp(mergeArb(i).out.bits.s1) 488eb4bf3f2Speixiaokun outArb(i).in(0).bits.s2 := mergeArb(i).out.bits.s2 48992e3bfefSLemover } 49092e3bfefSLemover 49192e3bfefSLemover // io.tlb.map(_.resp) <> outArb.map(_.out) 49292e3bfefSLemover io.tlb.map(_.resp).zip(outArb.map(_.out)).map{ 49392e3bfefSLemover case (resp, out) => resp <> out 49492e3bfefSLemover } 49592e3bfefSLemover 49692e3bfefSLemover // sfence 49792e3bfefSLemover when (flush) { 49892e3bfefSLemover for (i <- 0 until MemReqWidth) { 49992e3bfefSLemover when (waiting_resp(i)) { 50092e3bfefSLemover flush_latch(i) := true.B 50192e3bfefSLemover } 50292e3bfefSLemover } 50392e3bfefSLemover } 50492e3bfefSLemover // mem -> control signal 50592e3bfefSLemover // waiting_resp and sfence_latch will be reset when mem_resp_done 50692e3bfefSLemover when (mem_resp_done) { 50792e3bfefSLemover waiting_resp(mem.d.bits.source) := false.B 50892e3bfefSLemover flush_latch(mem.d.bits.source) := false.B 50992e3bfefSLemover } 51092e3bfefSLemover 51192e3bfefSLemover def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 51292e3bfefSLemover sink.valid := source.valid && !block_signal 51392e3bfefSLemover source.ready := sink.ready && !block_signal 51492e3bfefSLemover sink.bits := source.bits 51592e3bfefSLemover } 51692e3bfefSLemover 51792e3bfefSLemover def get_part(data: Vec[UInt], index: UInt): UInt = { 51892e3bfefSLemover val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W))) 51992e3bfefSLemover inner_data(index) 52092e3bfefSLemover } 52192e3bfefSLemover 52263632028SHaoyuan Feng // not_super means that this is a normal page 52363632028SHaoyuan Feng // valididx(i) will be all true when super page to be convenient for l1 tlb matching 524eb4bf3f2Speixiaokun def contiguous_pte_to_merge_ptwResp(pte: UInt, vpn: UInt, af: Bool, af_first: Boolean, not_super: Boolean = true, s2xlate: UInt) : PtwMergeResp = { 52563632028SHaoyuan Feng assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 52663632028SHaoyuan Feng val ptw_merge_resp = Wire(new PtwMergeResp()) 527eb4bf3f2Speixiaokun val hasS2xlate = s2xlate =/= noS2xlate 52863632028SHaoyuan Feng for (i <- 0 until tlbcontiguous) { 52963632028SHaoyuan Feng val pte_in = pte(64 * i + 63, 64 * i).asTypeOf(new PteBundle()) 53063632028SHaoyuan Feng val ptw_resp = Wire(new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 53163632028SHaoyuan Feng ptw_resp.ppn := pte_in.ppn(ppnLen - 1, sectortlbwidth) 53263632028SHaoyuan Feng ptw_resp.ppn_low := pte_in.ppn(sectortlbwidth - 1, 0) 53363632028SHaoyuan Feng ptw_resp.level.map(_ := 2.U) 53463632028SHaoyuan Feng ptw_resp.perm.map(_ := pte_in.getPerm()) 53563632028SHaoyuan Feng ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth) 53663632028SHaoyuan Feng ptw_resp.pf := (if (af_first) !af else true.B) && pte_in.isPf(2.U) 53763632028SHaoyuan Feng ptw_resp.af := (if (!af_first) pte_in.isPf(2.U) else true.B) && (af || pte_in.isAf()) 53863632028SHaoyuan Feng ptw_resp.v := !ptw_resp.pf 53963632028SHaoyuan Feng ptw_resp.prefetch := DontCare 540eb4bf3f2Speixiaokun ptw_resp.asid := Mux(hasS2xlate, vsatp.asid, satp.asid) 541eb4bf3f2Speixiaokun ptw_resp.vmid.map(_ := hgatp.asid) 54263632028SHaoyuan Feng ptw_merge_resp.entry(i) := ptw_resp 54363632028SHaoyuan Feng } 54463632028SHaoyuan Feng ptw_merge_resp.pteidx := UIntToOH(vpn(sectortlbwidth - 1, 0)).asBools 54563632028SHaoyuan Feng ptw_merge_resp.not_super := not_super.B 54663632028SHaoyuan Feng ptw_merge_resp 54763632028SHaoyuan Feng } 54863632028SHaoyuan Feng 54963632028SHaoyuan Feng def merge_ptwResp_to_sector_ptwResp(pte: PtwMergeResp) : PtwSectorResp = { 55063632028SHaoyuan Feng assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 55163632028SHaoyuan Feng val ptw_sector_resp = Wire(new PtwSectorResp) 55263632028SHaoyuan Feng ptw_sector_resp.entry.tag := pte.entry(OHToUInt(pte.pteidx)).tag 55363632028SHaoyuan Feng ptw_sector_resp.entry.asid := pte.entry(OHToUInt(pte.pteidx)).asid 554c3d5cfb3Speixiaokun ptw_sector_resp.entry.vmid.map(_ := pte.entry(OHToUInt(pte.pteidx)).vmid.getOrElse(0.U)) 55563632028SHaoyuan Feng ptw_sector_resp.entry.ppn := pte.entry(OHToUInt(pte.pteidx)).ppn 55663632028SHaoyuan Feng ptw_sector_resp.entry.perm.map(_ := pte.entry(OHToUInt(pte.pteidx)).perm.getOrElse(0.U.asTypeOf(new PtePermBundle))) 55763632028SHaoyuan Feng ptw_sector_resp.entry.level.map(_ := pte.entry(OHToUInt(pte.pteidx)).level.getOrElse(0.U(2.W))) 55863632028SHaoyuan Feng ptw_sector_resp.entry.prefetch := pte.entry(OHToUInt(pte.pteidx)).prefetch 55963632028SHaoyuan Feng ptw_sector_resp.entry.v := pte.entry(OHToUInt(pte.pteidx)).v 56063632028SHaoyuan Feng ptw_sector_resp.af := pte.entry(OHToUInt(pte.pteidx)).af 56163632028SHaoyuan Feng ptw_sector_resp.pf := pte.entry(OHToUInt(pte.pteidx)).pf 56263632028SHaoyuan Feng ptw_sector_resp.addr_low := OHToUInt(pte.pteidx) 563b0fa7106SHaoyuan Feng ptw_sector_resp.pteidx := pte.pteidx 56463632028SHaoyuan Feng for (i <- 0 until tlbcontiguous) { 56563632028SHaoyuan Feng val ppn_equal = pte.entry(i).ppn === pte.entry(OHToUInt(pte.pteidx)).ppn 56663632028SHaoyuan Feng val perm_equal = pte.entry(i).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt === pte.entry(OHToUInt(pte.pteidx)).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt 56763632028SHaoyuan Feng val v_equal = pte.entry(i).v === pte.entry(OHToUInt(pte.pteidx)).v 56863632028SHaoyuan Feng val af_equal = pte.entry(i).af === pte.entry(OHToUInt(pte.pteidx)).af 56963632028SHaoyuan Feng val pf_equal = pte.entry(i).pf === pte.entry(OHToUInt(pte.pteidx)).pf 57063632028SHaoyuan Feng ptw_sector_resp.valididx(i) := (ppn_equal && perm_equal && v_equal && af_equal && pf_equal) || !pte.not_super 57163632028SHaoyuan Feng ptw_sector_resp.ppn_low(i) := pte.entry(i).ppn_low 57263632028SHaoyuan Feng } 57363632028SHaoyuan Feng ptw_sector_resp.valididx(OHToUInt(pte.pteidx)) := true.B 57463632028SHaoyuan Feng ptw_sector_resp 57563632028SHaoyuan Feng } 57663632028SHaoyuan Feng 57792e3bfefSLemover def outReady(source: UInt, port: Int): Bool = { 57845f43e6eSTang Haojin MuxLookup(source, true.B)((0 until PtwWidth).map(i => i.U -> mergeArb(i).in(port).ready)) 57992e3bfefSLemover } 58092e3bfefSLemover 58192e3bfefSLemover // debug info 58292e3bfefSLemover for (i <- 0 until PtwWidth) { 58392e3bfefSLemover XSDebug(p"[io.tlb(${i.U})] ${io.tlb(i)}\n") 58492e3bfefSLemover } 5857797f035SbugGenerator XSDebug(p"[sfence] ${io.sfence}\n") 58692e3bfefSLemover XSDebug(p"[io.csr.tlb] ${io.csr.tlb}\n") 58792e3bfefSLemover 58892e3bfefSLemover for (i <- 0 until PtwWidth) { 589935edac4STang Haojin XSPerfAccumulate(s"req_count${i}", io.tlb(i).req(0).fire) 59092e3bfefSLemover XSPerfAccumulate(s"req_blocked_count_${i}", io.tlb(i).req(0).valid && !io.tlb(i).req(0).ready) 59192e3bfefSLemover } 59292e3bfefSLemover XSPerfAccumulate(s"req_blocked_by_mq", arb1.io.out.valid && missQueue.io.out.valid) 59392e3bfefSLemover for (i <- 0 until (MemReqWidth + 1)) { 59492e3bfefSLemover XSPerfAccumulate(s"mem_req_util${i}", PopCount(waiting_resp) === i.U) 59592e3bfefSLemover } 59692e3bfefSLemover XSPerfAccumulate("mem_cycle", PopCount(waiting_resp) =/= 0.U) 597935edac4STang Haojin XSPerfAccumulate("mem_count", mem.a.fire) 598dd7fe201SHaoyuan Feng for (i <- 0 until PtwWidth) { 599eb4bf3f2Speixiaokun XSPerfAccumulate(s"llptw_ppn_af${i}", mergeArb(i).in(outArbMqPort).valid && mergeArb(i).in(outArbMqPort).bits.s1.entry(OHToUInt(mergeArb(i).in(outArbMqPort).bits.s1.pteidx)).af && !llptw_out.bits.af) 600d61cd5eeSpeixiaokun XSPerfAccumulate(s"access_fault${i}", io.tlb(i).resp.fire && io.tlb(i).resp.bits.s1.af) 601dd7fe201SHaoyuan Feng } 60292e3bfefSLemover 60392e3bfefSLemover // print configs 604f1fe8698SLemover println(s"${l2tlbParams.name}: a ptw, a llptw with size ${l2tlbParams.llptwsize}, miss queue size ${MissQueueSize} l1:${l2tlbParams.l1Size} fa l2: nSets ${l2tlbParams.l2nSets} nWays ${l2tlbParams.l2nWays} l3: ${l2tlbParams.l3nSets} nWays ${l2tlbParams.l3nWays} blockBytes:${l2tlbParams.blockBytes}") 60592e3bfefSLemover 60692e3bfefSLemover // time out assert 60792e3bfefSLemover for (i <- 0 until MemReqWidth) { 60892e3bfefSLemover TimeOutAssert(waiting_resp(i), timeOutThreshold, s"ptw mem resp time out wait_resp${i}") 60992e3bfefSLemover TimeOutAssert(flush_latch(i), timeOutThreshold, s"ptw mem resp time out flush_latch${i}") 61092e3bfefSLemover } 61192e3bfefSLemover 61292e3bfefSLemover 61392e3bfefSLemover val perfEvents = Seq(llptw, cache, ptw).flatMap(_.getPerfEvents) 61492e3bfefSLemover generatePerfEvent() 6155afdf73cSHaoyuan Feng 616da3bf434SMaxpicca-Li val isWriteL1TlbTable = WireInit(Constantin.createRecord("isWriteL1TlbTable" + p(XSCoreParamsKey).HartId.toString)) 6175afdf73cSHaoyuan Feng val L1TlbTable = ChiselDB.createTable("L1Tlb_hart" + p(XSCoreParamsKey).HartId.toString, new L1TlbDB) 6185afdf73cSHaoyuan Feng val ITlbReqDB, DTlbReqDB, ITlbRespDB, DTlbRespDB = Wire(new L1TlbDB) 6195afdf73cSHaoyuan Feng ITlbReqDB.vpn := io.tlb(0).req(0).bits.vpn 6205afdf73cSHaoyuan Feng DTlbReqDB.vpn := io.tlb(1).req(0).bits.vpn 621d61cd5eeSpeixiaokun ITlbRespDB.vpn := io.tlb(0).resp.bits.s1.entry.tag 622d61cd5eeSpeixiaokun DTlbRespDB.vpn := io.tlb(1).resp.bits.s1.entry.tag 623da3bf434SMaxpicca-Li L1TlbTable.log(ITlbReqDB, isWriteL1TlbTable.orR && io.tlb(0).req(0).fire, "ITlbReq", clock, reset) 624da3bf434SMaxpicca-Li L1TlbTable.log(DTlbReqDB, isWriteL1TlbTable.orR && io.tlb(1).req(0).fire, "DTlbReq", clock, reset) 625da3bf434SMaxpicca-Li L1TlbTable.log(ITlbRespDB, isWriteL1TlbTable.orR && io.tlb(0).resp.fire, "ITlbResp", clock, reset) 626da3bf434SMaxpicca-Li L1TlbTable.log(DTlbRespDB, isWriteL1TlbTable.orR && io.tlb(1).resp.fire, "DTlbResp", clock, reset) 6275afdf73cSHaoyuan Feng 628da3bf434SMaxpicca-Li val isWritePageCacheTable = WireInit(Constantin.createRecord("isWritePageCacheTable" + p(XSCoreParamsKey).HartId.toString)) 6295afdf73cSHaoyuan Feng val PageCacheTable = ChiselDB.createTable("PageCache_hart" + p(XSCoreParamsKey).HartId.toString, new PageCacheDB) 6305afdf73cSHaoyuan Feng val PageCacheDB = Wire(new PageCacheDB) 63163632028SHaoyuan Feng PageCacheDB.vpn := Cat(cache.io.resp.bits.toTlb.entry(0).tag, OHToUInt(cache.io.resp.bits.toTlb.pteidx)) 6325afdf73cSHaoyuan Feng PageCacheDB.source := cache.io.resp.bits.req_info.source 6335afdf73cSHaoyuan Feng PageCacheDB.bypassed := cache.io.resp.bits.bypassed 6345afdf73cSHaoyuan Feng PageCacheDB.is_first := cache.io.resp.bits.isFirst 63563632028SHaoyuan Feng PageCacheDB.prefetched := cache.io.resp.bits.toTlb.entry(0).prefetch 6365afdf73cSHaoyuan Feng PageCacheDB.prefetch := cache.io.resp.bits.prefetch 6375afdf73cSHaoyuan Feng PageCacheDB.l2Hit := cache.io.resp.bits.toFsm.l2Hit 6385afdf73cSHaoyuan Feng PageCacheDB.l1Hit := cache.io.resp.bits.toFsm.l1Hit 6395afdf73cSHaoyuan Feng PageCacheDB.hit := cache.io.resp.bits.hit 640da3bf434SMaxpicca-Li PageCacheTable.log(PageCacheDB, isWritePageCacheTable.orR && cache.io.resp.fire, "PageCache", clock, reset) 6415afdf73cSHaoyuan Feng 642da3bf434SMaxpicca-Li val isWritePTWTable = WireInit(Constantin.createRecord("isWritePTWTable" + p(XSCoreParamsKey).HartId.toString)) 6435afdf73cSHaoyuan Feng val PTWTable = ChiselDB.createTable("PTW_hart" + p(XSCoreParamsKey).HartId.toString, new PTWDB) 6445afdf73cSHaoyuan Feng val PTWReqDB, PTWRespDB, LLPTWReqDB, LLPTWRespDB = Wire(new PTWDB) 6455afdf73cSHaoyuan Feng PTWReqDB.vpn := ptw.io.req.bits.req_info.vpn 6465afdf73cSHaoyuan Feng PTWReqDB.source := ptw.io.req.bits.req_info.source 6475afdf73cSHaoyuan Feng PTWRespDB.vpn := ptw.io.refill.req_info.vpn 6485afdf73cSHaoyuan Feng PTWRespDB.source := ptw.io.refill.req_info.source 6495afdf73cSHaoyuan Feng LLPTWReqDB.vpn := llptw.io.in.bits.req_info.vpn 6505afdf73cSHaoyuan Feng LLPTWReqDB.source := llptw.io.in.bits.req_info.source 6515afdf73cSHaoyuan Feng LLPTWRespDB.vpn := llptw.io.mem.refill.vpn 6525afdf73cSHaoyuan Feng LLPTWRespDB.source := llptw.io.mem.refill.source 653da3bf434SMaxpicca-Li PTWTable.log(PTWReqDB, isWritePTWTable.orR && ptw.io.req.fire, "PTWReq", clock, reset) 654da3bf434SMaxpicca-Li PTWTable.log(PTWRespDB, isWritePTWTable.orR && ptw.io.mem.resp.fire, "PTWResp", clock, reset) 655da3bf434SMaxpicca-Li PTWTable.log(LLPTWReqDB, isWritePTWTable.orR && llptw.io.in.fire, "LLPTWReq", clock, reset) 656da3bf434SMaxpicca-Li PTWTable.log(LLPTWRespDB, isWritePTWTable.orR && llptw.io.mem.resp.fire, "LLPTWResp", clock, reset) 6575afdf73cSHaoyuan Feng 658da3bf434SMaxpicca-Li val isWriteL2TlbMissQueueTable = WireInit(Constantin.createRecord("isWriteL2TlbMissQueueTable" + p(XSCoreParamsKey).HartId.toString)) 6595afdf73cSHaoyuan Feng val L2TlbMissQueueTable = ChiselDB.createTable("L2TlbMissQueue_hart" + p(XSCoreParamsKey).HartId.toString, new L2TlbMissQueueDB) 6605afdf73cSHaoyuan Feng val L2TlbMissQueueInDB, L2TlbMissQueueOutDB = Wire(new L2TlbMissQueueDB) 6616967f5d5Speixiaokun L2TlbMissQueueInDB.vpn := missQueue.io.in.bits.req_info.vpn 6626967f5d5Speixiaokun L2TlbMissQueueOutDB.vpn := missQueue.io.out.bits.req_info.vpn 663da3bf434SMaxpicca-Li L2TlbMissQueueTable.log(L2TlbMissQueueInDB, isWriteL2TlbMissQueueTable.orR && missQueue.io.in.fire, "L2TlbMissQueueIn", clock, reset) 664da3bf434SMaxpicca-Li L2TlbMissQueueTable.log(L2TlbMissQueueOutDB, isWriteL2TlbMissQueueTable.orR && missQueue.io.out.fire, "L2TlbMissQueueOut", clock, reset) 66592e3bfefSLemover} 66692e3bfefSLemover 6677797f035SbugGenerator/** BlockHelper, block missqueue, not to send too many req to cache 6687797f035SbugGenerator * Parameter: 6697797f035SbugGenerator * enable: enable BlockHelper, mq should not send too many reqs 6707797f035SbugGenerator * start: when miss queue out fire and need, block miss queue's out 6717797f035SbugGenerator * block: block miss queue's out 6727797f035SbugGenerator * latency: last missqueue out's cache access latency 6737797f035SbugGenerator */ 6747797f035SbugGeneratorclass BlockHelper(latency: Int)(implicit p: Parameters) extends XSModule { 6757797f035SbugGenerator val io = IO(new Bundle { 6767797f035SbugGenerator val enable = Input(Bool()) 6777797f035SbugGenerator val start = Input(Bool()) 6787797f035SbugGenerator val block = Output(Bool()) 6797797f035SbugGenerator }) 6807797f035SbugGenerator 6817797f035SbugGenerator val count = RegInit(0.U(log2Ceil(latency).W)) 6827797f035SbugGenerator val valid = RegInit(false.B) 6837797f035SbugGenerator val work = RegInit(true.B) 6847797f035SbugGenerator 6857797f035SbugGenerator io.block := valid 6867797f035SbugGenerator 6877797f035SbugGenerator when (io.start && work) { valid := true.B } 6887797f035SbugGenerator when (valid) { count := count + 1.U } 6897797f035SbugGenerator when (count === (latency.U) || io.enable) { 6907797f035SbugGenerator valid := false.B 6917797f035SbugGenerator work := io.enable 6927797f035SbugGenerator count := 0.U 6937797f035SbugGenerator } 6947797f035SbugGenerator} 6957797f035SbugGenerator 69692e3bfefSLemoverclass PTEHelper() extends ExtModule { 69792e3bfefSLemover val clock = IO(Input(Clock())) 69892e3bfefSLemover val enable = IO(Input(Bool())) 69992e3bfefSLemover val satp = IO(Input(UInt(64.W))) 70092e3bfefSLemover val vpn = IO(Input(UInt(64.W))) 70192e3bfefSLemover val pte = IO(Output(UInt(64.W))) 70292e3bfefSLemover val level = IO(Output(UInt(8.W))) 70392e3bfefSLemover val pf = IO(Output(UInt(8.W))) 70492e3bfefSLemover} 70592e3bfefSLemover 7065afdf73cSHaoyuan Fengclass PTWDelayN[T <: Data](gen: T, n: Int, flush: Bool) extends Module { 7075afdf73cSHaoyuan Feng val io = IO(new Bundle() { 7085afdf73cSHaoyuan Feng val in = Input(gen) 7095afdf73cSHaoyuan Feng val out = Output(gen) 7105afdf73cSHaoyuan Feng val ptwflush = Input(flush.cloneType) 7115afdf73cSHaoyuan Feng }) 7125afdf73cSHaoyuan Feng val out = RegInit(VecInit(Seq.fill(n)(0.U.asTypeOf(gen)))) 7135afdf73cSHaoyuan Feng val t = RegInit(VecInit(Seq.fill(n)(0.U.asTypeOf(gen)))) 7145afdf73cSHaoyuan Feng out(0) := io.in 7155afdf73cSHaoyuan Feng if (n == 1) { 7165afdf73cSHaoyuan Feng io.out := out(0) 7175afdf73cSHaoyuan Feng } else { 7185afdf73cSHaoyuan Feng when (io.ptwflush) { 7195afdf73cSHaoyuan Feng for (i <- 0 until n) { 7205afdf73cSHaoyuan Feng t(i) := 0.U.asTypeOf(gen) 7215afdf73cSHaoyuan Feng out(i) := 0.U.asTypeOf(gen) 7225afdf73cSHaoyuan Feng } 7235afdf73cSHaoyuan Feng io.out := 0.U.asTypeOf(gen) 7245afdf73cSHaoyuan Feng } .otherwise { 7255afdf73cSHaoyuan Feng for (i <- 1 until n) { 7265afdf73cSHaoyuan Feng t(i-1) := out(i-1) 7275afdf73cSHaoyuan Feng out(i) := t(i-1) 7285afdf73cSHaoyuan Feng } 7295afdf73cSHaoyuan Feng io.out := out(n-1) 7305afdf73cSHaoyuan Feng } 7315afdf73cSHaoyuan Feng } 7325afdf73cSHaoyuan Feng} 7335afdf73cSHaoyuan Feng 7345afdf73cSHaoyuan Fengobject PTWDelayN { 7355afdf73cSHaoyuan Feng def apply[T <: Data](in: T, n: Int, flush: Bool): T = { 7365afdf73cSHaoyuan Feng val delay = Module(new PTWDelayN(in.cloneType, n, flush)) 7375afdf73cSHaoyuan Feng delay.io.in := in 7385afdf73cSHaoyuan Feng delay.io.ptwflush := flush 7395afdf73cSHaoyuan Feng delay.io.out 7405afdf73cSHaoyuan Feng } 7415afdf73cSHaoyuan Feng} 7425afdf73cSHaoyuan Feng 74392e3bfefSLemoverclass FakePTW()(implicit p: Parameters) extends XSModule with HasPtwConst { 74492e3bfefSLemover val io = IO(new L2TLBIO) 7455afdf73cSHaoyuan Feng val flush = VecInit(Seq.fill(PtwWidth)(false.B)) 7465afdf73cSHaoyuan Feng flush(0) := DelayN(io.sfence.valid || io.csr.tlb.satp.changed, itlbParams.fenceDelay) 7475afdf73cSHaoyuan Feng flush(1) := DelayN(io.sfence.valid || io.csr.tlb.satp.changed, ldtlbParams.fenceDelay) 74892e3bfefSLemover for (i <- 0 until PtwWidth) { 74992e3bfefSLemover val helper = Module(new PTEHelper()) 75092e3bfefSLemover helper.clock := clock 75192e3bfefSLemover helper.satp := io.csr.tlb.satp.ppn 7525afdf73cSHaoyuan Feng 7535afdf73cSHaoyuan Feng if (coreParams.softPTWDelay == 1) { 7545afdf73cSHaoyuan Feng helper.enable := io.tlb(i).req(0).fire 75592e3bfefSLemover helper.vpn := io.tlb(i).req(0).bits.vpn 7565afdf73cSHaoyuan Feng } else { 7575afdf73cSHaoyuan Feng helper.enable := PTWDelayN(io.tlb(i).req(0).fire, coreParams.softPTWDelay - 1, flush(i)) 7585afdf73cSHaoyuan Feng helper.vpn := PTWDelayN(io.tlb(i).req(0).bits.vpn, coreParams.softPTWDelay - 1, flush(i)) 7595afdf73cSHaoyuan Feng } 7605afdf73cSHaoyuan Feng 76192e3bfefSLemover val pte = helper.pte.asTypeOf(new PteBundle) 76292e3bfefSLemover val level = helper.level 76392e3bfefSLemover val pf = helper.pf 7645afdf73cSHaoyuan Feng val empty = RegInit(true.B) 7655afdf73cSHaoyuan Feng when (io.tlb(i).req(0).fire) { 7665afdf73cSHaoyuan Feng empty := false.B 7675afdf73cSHaoyuan Feng } .elsewhen (io.tlb(i).resp.fire || flush(i)) { 7685afdf73cSHaoyuan Feng empty := true.B 7695afdf73cSHaoyuan Feng } 77092e3bfefSLemover 7715afdf73cSHaoyuan Feng io.tlb(i).req(0).ready := empty || io.tlb(i).resp.fire 7725afdf73cSHaoyuan Feng io.tlb(i).resp.valid := PTWDelayN(io.tlb(i).req(0).fire, coreParams.softPTWDelay, flush(i)) 77392e3bfefSLemover assert(!io.tlb(i).resp.valid || io.tlb(i).resp.ready) 774d61cd5eeSpeixiaokun io.tlb(i).resp.bits.s1.entry.tag := PTWDelayN(io.tlb(i).req(0).bits.vpn, coreParams.softPTWDelay, flush(i)) 775d61cd5eeSpeixiaokun io.tlb(i).resp.bits.s1.entry.ppn := pte.ppn 776d61cd5eeSpeixiaokun io.tlb(i).resp.bits.s1.entry.perm.map(_ := pte.getPerm()) 777d61cd5eeSpeixiaokun io.tlb(i).resp.bits.s1.entry.level.map(_ := level) 778d61cd5eeSpeixiaokun io.tlb(i).resp.bits.s1.pf := pf 779d61cd5eeSpeixiaokun io.tlb(i).resp.bits.s1.af := DontCare // TODO: implement it 780d61cd5eeSpeixiaokun io.tlb(i).resp.bits.s1.entry.v := !pf 781d61cd5eeSpeixiaokun io.tlb(i).resp.bits.s1.entry.prefetch := DontCare 782d61cd5eeSpeixiaokun io.tlb(i).resp.bits.s1.entry.asid := io.csr.tlb.satp.asid 78392e3bfefSLemover } 78492e3bfefSLemover} 78592e3bfefSLemover 78692e3bfefSLemoverclass L2TLBWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 78795e60e55STang Haojin override def shouldBeInlined: Boolean = false 78892e3bfefSLemover val useSoftPTW = coreParams.softPTW 78992e3bfefSLemover val node = if (!useSoftPTW) TLIdentityNode() else null 79092e3bfefSLemover val ptw = if (!useSoftPTW) LazyModule(new L2TLB()) else null 79192e3bfefSLemover if (!useSoftPTW) { 79292e3bfefSLemover node := ptw.node 79392e3bfefSLemover } 79492e3bfefSLemover 795935edac4STang Haojin class L2TLBWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents { 79692e3bfefSLemover val io = IO(new L2TLBIO) 79792e3bfefSLemover val perfEvents = if (useSoftPTW) { 79892e3bfefSLemover val fake_ptw = Module(new FakePTW()) 79992e3bfefSLemover io <> fake_ptw.io 80092e3bfefSLemover Seq() 80192e3bfefSLemover } 80292e3bfefSLemover else { 80392e3bfefSLemover io <> ptw.module.io 80492e3bfefSLemover ptw.module.getPerfEvents 80592e3bfefSLemover } 80692e3bfefSLemover generatePerfEvent() 80792e3bfefSLemover } 808935edac4STang Haojin 809935edac4STang Haojin lazy val module = new L2TLBWrapperImp(this) 81092e3bfefSLemover} 811