xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/L2TLB.scala (revision 27ba10c156ac2e99705e6dfabc50f90e2693c2f6)
192e3bfefSLemover/***************************************************************************************
292e3bfefSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
392e3bfefSLemover* Copyright (c) 2020-2021 Peng Cheng Laboratory
492e3bfefSLemover*
592e3bfefSLemover* XiangShan is licensed under Mulan PSL v2.
692e3bfefSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
792e3bfefSLemover* You may obtain a copy of Mulan PSL v2 at:
892e3bfefSLemover*          http://license.coscl.org.cn/MulanPSL2
992e3bfefSLemover*
1092e3bfefSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1192e3bfefSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1292e3bfefSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1392e3bfefSLemover*
1492e3bfefSLemover* See the Mulan PSL v2 for more details.
1592e3bfefSLemover***************************************************************************************/
1692e3bfefSLemover
1792e3bfefSLemoverpackage xiangshan.cache.mmu
1892e3bfefSLemover
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
2092e3bfefSLemoverimport chisel3._
2192e3bfefSLemoverimport chisel3.experimental.ExtModule
2292e3bfefSLemoverimport chisel3.util._
2392e3bfefSLemoverimport xiangshan._
2492e3bfefSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
2592e3bfefSLemoverimport utils._
263c02ee8fSwakafaimport utility._
2792e3bfefSLemoverimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp}
2892e3bfefSLemoverimport freechips.rocketchip.tilelink._
2992e3bfefSLemoverimport xiangshan.backend.fu.{PMP, PMPChecker, PMPReqBundle, PMPRespBundle}
3092e3bfefSLemoverimport xiangshan.backend.fu.util.HasCSRConst
319c26bab7SHaoyuan Fengimport difftest._
3292e3bfefSLemover
3392e3bfefSLemoverclass L2TLB()(implicit p: Parameters) extends LazyModule with HasPtwConst {
3495e60e55STang Haojin  override def shouldBeInlined: Boolean = false
3592e3bfefSLemover
3692e3bfefSLemover  val node = TLClientNode(Seq(TLMasterPortParameters.v1(
3792e3bfefSLemover    clients = Seq(TLMasterParameters.v1(
3892e3bfefSLemover      "ptw",
3992e3bfefSLemover      sourceId = IdRange(0, MemReqWidth)
40d2b20d1aSTang Haojin    )),
41d2b20d1aSTang Haojin    requestFields = Seq(ReqSourceField())
4292e3bfefSLemover  )))
4392e3bfefSLemover
4492e3bfefSLemover  lazy val module = new L2TLBImp(this)
4592e3bfefSLemover}
4692e3bfefSLemover
4792e3bfefSLemoverclass L2TLBImp(outer: L2TLB)(implicit p: Parameters) extends PtwModule(outer) with HasCSRConst with HasPerfEvents {
4892e3bfefSLemover
4992e3bfefSLemover  val (mem, edge) = outer.node.out.head
5092e3bfefSLemover
5192e3bfefSLemover  val io = IO(new L2TLBIO)
5292e3bfefSLemover  val difftestIO = IO(new Bundle() {
5392e3bfefSLemover    val ptwResp = Output(Bool())
5492e3bfefSLemover    val ptwAddr = Output(UInt(64.W))
5592e3bfefSLemover    val ptwData = Output(Vec(4, UInt(64.W)))
5692e3bfefSLemover  })
5792e3bfefSLemover
5892e3bfefSLemover  /* Ptw processes multiple requests
5992e3bfefSLemover   * Divide Ptw procedure into two stages: cache access ; mem access if cache miss
6092e3bfefSLemover   *           miss queue itlb       dtlb
6192e3bfefSLemover   *               |       |         |
6292e3bfefSLemover   *               ------arbiter------
6392e3bfefSLemover   *                            |
6492e3bfefSLemover   *                    l1 - l2 - l3 - sp
6592e3bfefSLemover   *                            |
6692e3bfefSLemover   *          -------------------------------------------
6792e3bfefSLemover   *    miss  |  queue                                  | hit
6892e3bfefSLemover   *    [][][][][][]                                    |
6992e3bfefSLemover   *          |                                         |
7092e3bfefSLemover   *    state machine accessing mem                     |
7192e3bfefSLemover   *          |                                         |
7292e3bfefSLemover   *          ---------------arbiter---------------------
7392e3bfefSLemover   *                 |                    |
7492e3bfefSLemover   *                itlb                 dtlb
7592e3bfefSLemover   */
7692e3bfefSLemover
7792e3bfefSLemover  difftestIO <> DontCare
7892e3bfefSLemover
797797f035SbugGenerator  val sfence_tmp = DelayN(io.sfence, 1)
807797f035SbugGenerator  val csr_tmp    = DelayN(io.csr.tlb, 1)
81d0de7e4aSpeixiaokun  val sfence_dup = Seq.fill(9)(RegNext(sfence_tmp))
825adc4829SYanqin Li  val csr_dup = Seq.fill(8)(RegNext(csr_tmp)) // TODO: add csr_modified?
837797f035SbugGenerator  val satp   = csr_dup(0).satp
84d0de7e4aSpeixiaokun  val vsatp  = csr_dup(0).vsatp
85d0de7e4aSpeixiaokun  val hgatp  = csr_dup(0).hgatp
867797f035SbugGenerator  val priv   = csr_dup(0).priv
87d0de7e4aSpeixiaokun  val flush  = sfence_dup(0).valid || satp.changed || vsatp.changed || hgatp.changed
8892e3bfefSLemover
8992e3bfefSLemover  val pmp = Module(new PMP())
90c3d5cfb3Speixiaokun  val pmp_check = VecInit(Seq.fill(3)(Module(new PMPChecker(lgMaxSize = 3, sameCycle = true)).io))
9192e3bfefSLemover  pmp.io.distribute_csr := io.csr.distribute_csr
9292e3bfefSLemover  pmp_check.foreach(_.check_env.apply(ModeS, pmp.io.pmp, pmp.io.pma))
9392e3bfefSLemover
9492e3bfefSLemover  val missQueue = Module(new L2TlbMissQueue)
9592e3bfefSLemover  val cache = Module(new PtwCache)
9692e3bfefSLemover  val ptw = Module(new PTW)
97d0de7e4aSpeixiaokun  val hptw = Module(new HPTW)
9892e3bfefSLemover  val llptw = Module(new LLPTW)
997797f035SbugGenerator  val blockmq = Module(new BlockHelper(3))
10092e3bfefSLemover  val arb1 = Module(new Arbiter(new PtwReq, PtwWidth))
1016967f5d5Speixiaokun  val arb2 = Module(new Arbiter(new L2TlbWithHptwIdBundle, ((if (l2tlbParams.enablePrefetch) 4 else 3) + (if(HasHExtension) 1 else 0))))
102d0de7e4aSpeixiaokun  val hptw_req_arb = Module(new Arbiter(new Bundle {
103d0de7e4aSpeixiaokun    val id = UInt(log2Up(l2tlbParams.llptwsize).W)
104eb4bf3f2Speixiaokun    val source = UInt(bSourceWidth.W)
10597929664SXiaokun-Pei    val gvpn = UInt(gvpnLen.W)
106d0de7e4aSpeixiaokun  }, 2))
107d0de7e4aSpeixiaokun  val hptw_resp_arb = Module(new Arbiter(new Bundle {
108d0de7e4aSpeixiaokun    val resp = new HptwResp()
109d0de7e4aSpeixiaokun    val id = UInt(log2Up(l2tlbParams.llptwsize).W)
110d0de7e4aSpeixiaokun  }, 2))
111d0de7e4aSpeixiaokun  val outArb = (0 until PtwWidth).map(i => Module(new Arbiter(new Bundle {
112d0de7e4aSpeixiaokun    val s2xlate = UInt(2.W)
113eb4bf3f2Speixiaokun    val s1 = new PtwSectorResp ()
114eb4bf3f2Speixiaokun    val s2 = new HptwResp()
115d0de7e4aSpeixiaokun  }, 1)).io)
116d0de7e4aSpeixiaokun  val mergeArb = (0 until PtwWidth).map(i => Module(new Arbiter(new Bundle {
117d0de7e4aSpeixiaokun    val s2xlate = UInt(2.W)
118eb4bf3f2Speixiaokun    val s1 = new PtwMergeResp()
119eb4bf3f2Speixiaokun    val s2 = new HptwResp()
120d0de7e4aSpeixiaokun  }, 3)).io)
12192e3bfefSLemover  val outArbCachePort = 0
12292e3bfefSLemover  val outArbFsmPort = 1
12392e3bfefSLemover  val outArbMqPort = 2
12492e3bfefSLemover
125d0de7e4aSpeixiaokun  // hptw arb input port
126d0de7e4aSpeixiaokun  val InHptwArbPTWPort = 0
127d0de7e4aSpeixiaokun  val InHptwArbLLPTWPort = 1
128d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbPTWPort).valid := ptw.io.hptw.req.valid
129d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbPTWPort).bits.gvpn := ptw.io.hptw.req.bits.gvpn
130d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbPTWPort).bits.id := ptw.io.hptw.req.bits.id
131c3d5cfb3Speixiaokun  hptw_req_arb.io.in(InHptwArbPTWPort).bits.source := ptw.io.hptw.req.bits.source
132d0de7e4aSpeixiaokun  ptw.io.hptw.req.ready := hptw_req_arb.io.in(InHptwArbPTWPort).ready
133d0de7e4aSpeixiaokun
134d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbLLPTWPort).valid := llptw.io.hptw.req.valid
135d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.gvpn := llptw.io.hptw.req.bits.gvpn
136d0de7e4aSpeixiaokun  hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.id := llptw.io.hptw.req.bits.id
137eb4bf3f2Speixiaokun  hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.source := llptw.io.hptw.req.bits.source
138d0de7e4aSpeixiaokun  llptw.io.hptw.req.ready := hptw_req_arb.io.in(InHptwArbLLPTWPort).ready
139d0de7e4aSpeixiaokun
1409c503409SLemover  // arb2 input port
1417f6221c5Speixiaokun  val InArbHPTWPort = 0
1427f6221c5Speixiaokun  val InArbPTWPort = 1
1437f6221c5Speixiaokun  val InArbMissQueuePort = 2
1447f6221c5Speixiaokun  val InArbTlbPort = 3
1457f6221c5Speixiaokun  val InArbPrefetchPort = 4
14692e3bfefSLemover  // NOTE: when cache out but miss and ptw doesnt accept,
14792e3bfefSLemover  arb1.io.in <> VecInit(io.tlb.map(_.req(0)))
148eb4bf3f2Speixiaokun
14992e3bfefSLemover
1509c503409SLemover  arb2.io.in(InArbPTWPort).valid := ptw.io.llptw.valid
1516967f5d5Speixiaokun  arb2.io.in(InArbPTWPort).bits.req_info := ptw.io.llptw.bits.req_info
152325f0a4eSpeixiaokun  arb2.io.in(InArbPTWPort).bits.isHptwReq := false.B
1537f6221c5Speixiaokun  arb2.io.in(InArbPTWPort).bits.isLLptw := false.B
1546967f5d5Speixiaokun  arb2.io.in(InArbPTWPort).bits.hptwId := DontCare
1559c503409SLemover  ptw.io.llptw.ready := arb2.io.in(InArbPTWPort).ready
15683d93d53Speixiaokun  block_decoupled(missQueue.io.out, arb2.io.in(InArbMissQueuePort), Mux(missQueue.io.out.bits.isLLptw, !llptw.io.in.ready, !ptw.io.req.ready))
1577797f035SbugGenerator
15892e3bfefSLemover  arb2.io.in(InArbTlbPort).valid := arb1.io.out.valid
1596967f5d5Speixiaokun  arb2.io.in(InArbTlbPort).bits.req_info.vpn := arb1.io.out.bits.vpn
1606967f5d5Speixiaokun  arb2.io.in(InArbTlbPort).bits.req_info.s2xlate := arb1.io.out.bits.s2xlate
1616967f5d5Speixiaokun  arb2.io.in(InArbTlbPort).bits.req_info.source := arb1.io.chosen
162325f0a4eSpeixiaokun  arb2.io.in(InArbTlbPort).bits.isHptwReq := false.B
1637f6221c5Speixiaokun  arb2.io.in(InArbTlbPort).bits.isLLptw := false.B
1646967f5d5Speixiaokun  arb2.io.in(InArbTlbPort).bits.hptwId := DontCare
165eb4bf3f2Speixiaokun  arb1.io.out.ready := arb2.io.in(InArbTlbPort).ready
166d0de7e4aSpeixiaokun
167d0de7e4aSpeixiaokun  arb2.io.in(InArbHPTWPort).valid := hptw_req_arb.io.out.valid
1686967f5d5Speixiaokun  arb2.io.in(InArbHPTWPort).bits.req_info.vpn := hptw_req_arb.io.out.bits.gvpn
1696967f5d5Speixiaokun  arb2.io.in(InArbHPTWPort).bits.req_info.s2xlate := onlyStage2
1706967f5d5Speixiaokun  arb2.io.in(InArbHPTWPort).bits.req_info.source := hptw_req_arb.io.out.bits.source
171325f0a4eSpeixiaokun  arb2.io.in(InArbHPTWPort).bits.isHptwReq := true.B
1727f6221c5Speixiaokun  arb2.io.in(InArbHPTWPort).bits.isLLptw := false.B
1736967f5d5Speixiaokun  arb2.io.in(InArbHPTWPort).bits.hptwId := hptw_req_arb.io.out.bits.id
174eb4bf3f2Speixiaokun  hptw_req_arb.io.out.ready := arb2.io.in(InArbHPTWPort).ready
175c686adcdSYinan Xu  val hartId = p(XSCoreParamsKey).HartId
17692e3bfefSLemover  if (l2tlbParams.enablePrefetch) {
17792e3bfefSLemover    val prefetch = Module(new L2TlbPrefetch())
17892e3bfefSLemover    val recv = cache.io.resp
17992e3bfefSLemover    // NOTE: 1. prefetch doesn't gen prefetch 2. req from mq doesn't gen prefetch
18092e3bfefSLemover    // NOTE: 1. miss req gen prefetch 2. hit but prefetched gen prefetch
181935edac4STang Haojin    prefetch.io.in.valid := recv.fire && !from_pre(recv.bits.req_info.source) && (!recv.bits.hit  ||
18292e3bfefSLemover      recv.bits.prefetch) && recv.bits.isFirst
18392e3bfefSLemover    prefetch.io.in.bits.vpn := recv.bits.req_info.vpn
1847797f035SbugGenerator    prefetch.io.sfence := sfence_dup(0)
1857797f035SbugGenerator    prefetch.io.csr := csr_dup(0)
18692e3bfefSLemover    arb2.io.in(InArbPrefetchPort) <> prefetch.io.out
1875afdf73cSHaoyuan Feng
188c686adcdSYinan Xu    val isWriteL2TlbPrefetchTable = Constantin.createRecord(s"isWriteL2TlbPrefetchTable$hartId")
189c686adcdSYinan Xu    val L2TlbPrefetchTable = ChiselDB.createTable(s"L2TlbPrefetch_hart$hartId", new L2TlbPrefetchDB)
1905afdf73cSHaoyuan Feng    val L2TlbPrefetchDB = Wire(new L2TlbPrefetchDB)
1916967f5d5Speixiaokun    L2TlbPrefetchDB.vpn := prefetch.io.out.bits.req_info.vpn
192da3bf434SMaxpicca-Li    L2TlbPrefetchTable.log(L2TlbPrefetchDB, isWriteL2TlbPrefetchTable.orR && prefetch.io.out.fire, "L2TlbPrefetch", clock, reset)
19392e3bfefSLemover  }
19492e3bfefSLemover  arb2.io.out.ready := cache.io.req.ready
19592e3bfefSLemover
1967797f035SbugGenerator
1976967f5d5Speixiaokun  val mq_arb = Module(new Arbiter(new L2TlbWithHptwIdBundle, 2))
1987797f035SbugGenerator  mq_arb.io.in(0).valid := cache.io.resp.valid && !cache.io.resp.bits.hit &&
19983d93d53Speixiaokun    !from_pre(cache.io.resp.bits.req_info.source) && !cache.io.resp.bits.isHptwReq && // hptw reqs are not sent to missqueue
200325f0a4eSpeixiaokun    (cache.io.resp.bits.bypassed || (
2013ea4388cSHaoyuan Feng      ((!cache.io.resp.bits.toFsm.l1Hit || cache.io.resp.bits.toFsm.stage1Hit) && !cache.io.resp.bits.isHptwReq && (cache.io.resp.bits.isFirst || !ptw.io.req.ready)) // send to ptw, is first or ptw is busy;
2023ea4388cSHaoyuan Feng      || (cache.io.resp.bits.toFsm.l1Hit && !llptw.io.in.ready) // send to llptw, llptw is full
203325f0a4eSpeixiaokun    ))
204325f0a4eSpeixiaokun
2056967f5d5Speixiaokun  mq_arb.io.in(0).bits.req_info :=  cache.io.resp.bits.req_info
20683d93d53Speixiaokun  mq_arb.io.in(0).bits.isHptwReq := false.B
20783d93d53Speixiaokun  mq_arb.io.in(0).bits.hptwId :=  DontCare
2083ea4388cSHaoyuan Feng  mq_arb.io.in(0).bits.isLLptw := cache.io.resp.bits.toFsm.l1Hit
2096967f5d5Speixiaokun  mq_arb.io.in(1).bits.req_info := llptw.io.cache.bits
210325f0a4eSpeixiaokun  mq_arb.io.in(1).bits.isHptwReq := false.B
2116967f5d5Speixiaokun  mq_arb.io.in(1).bits.hptwId := DontCare
2127f6221c5Speixiaokun  mq_arb.io.in(1).bits.isLLptw := false.B
2136967f5d5Speixiaokun  mq_arb.io.in(1).valid := llptw.io.cache.valid
2146967f5d5Speixiaokun  llptw.io.cache.ready := mq_arb.io.in(1).ready
2157797f035SbugGenerator  missQueue.io.in <> mq_arb.io.out
2167797f035SbugGenerator  missQueue.io.sfence  := sfence_dup(6)
2177797f035SbugGenerator  missQueue.io.csr := csr_dup(5)
2187797f035SbugGenerator
2197797f035SbugGenerator  blockmq.io.start := missQueue.io.out.fire
220935edac4STang Haojin  blockmq.io.enable := ptw.io.req.fire
2217797f035SbugGenerator
222d0de7e4aSpeixiaokun  llptw.io.in.valid := cache.io.resp.valid &&
223d0de7e4aSpeixiaokun    !cache.io.resp.bits.hit &&
2243ea4388cSHaoyuan Feng    cache.io.resp.bits.toFsm.l1Hit &&
225d0de7e4aSpeixiaokun    !cache.io.resp.bits.bypassed &&
226325f0a4eSpeixiaokun    !cache.io.resp.bits.isHptwReq
2279c503409SLemover  llptw.io.in.bits.req_info := cache.io.resp.bits.req_info
2289c503409SLemover  llptw.io.in.bits.ppn := cache.io.resp.bits.toFsm.ppn
2297797f035SbugGenerator  llptw.io.sfence := sfence_dup(1)
2307797f035SbugGenerator  llptw.io.csr := csr_dup(1)
2310ede9a33SXiaokun-Pei  val llptw_stage1 = Reg(Vec(l2tlbParams.llptwsize, new PtwMergeResp()))
2320ede9a33SXiaokun-Pei  when(llptw.io.in.fire){
2330ede9a33SXiaokun-Pei    llptw_stage1(llptw.io.mem.enq_ptr) := cache.io.resp.bits.stage1
2340ede9a33SXiaokun-Pei  }
23592e3bfefSLemover
23692e3bfefSLemover  cache.io.req.valid := arb2.io.out.valid
2376967f5d5Speixiaokun  cache.io.req.bits.req_info := arb2.io.out.bits.req_info
238325f0a4eSpeixiaokun  cache.io.req.bits.isFirst := (arb2.io.chosen =/= InArbMissQueuePort.U && !arb2.io.out.bits.isHptwReq)
239325f0a4eSpeixiaokun  cache.io.req.bits.isHptwReq := arb2.io.out.bits.isHptwReq
2406967f5d5Speixiaokun  cache.io.req.bits.hptwId := arb2.io.out.bits.hptwId
2411f4a7c0cSLemover  cache.io.req.bits.bypassed.map(_ := false.B)
2427797f035SbugGenerator  cache.io.sfence := sfence_dup(2)
2437797f035SbugGenerator  cache.io.csr := csr_dup(2)
2447797f035SbugGenerator  cache.io.sfence_dup.zip(sfence_dup.drop(2).take(4)).map(s => s._1 := s._2)
2457797f035SbugGenerator  cache.io.csr_dup.zip(csr_dup.drop(2).take(3)).map(c => c._1 := c._2)
2464c4af37cSpeixiaokun  cache.io.resp.ready := MuxCase(mq_arb.io.in(0).ready || ptw.io.req.ready, Seq(
24783d93d53Speixiaokun    (!cache.io.resp.bits.hit && cache.io.resp.bits.isHptwReq) -> hptw.io.req.ready,
24883d93d53Speixiaokun    (cache.io.resp.bits.hit && cache.io.resp.bits.isHptwReq) -> hptw_resp_arb.io.in(HptwRespArbCachePort).ready,
2494c4af37cSpeixiaokun    cache.io.resp.bits.hit -> outReady(cache.io.resp.bits.req_info.source, outArbCachePort),
2503ea4388cSHaoyuan Feng    (cache.io.resp.bits.toFsm.l1Hit && !cache.io.resp.bits.bypassed && llptw.io.in.ready) -> llptw.io.in.ready,
25183d93d53Speixiaokun    (cache.io.resp.bits.bypassed || cache.io.resp.bits.isFirst) -> mq_arb.io.in(0).ready
2524c4af37cSpeixiaokun  ))
25392e3bfefSLemover
25492e3bfefSLemover  // NOTE: missQueue req has higher priority
2553ea4388cSHaoyuan Feng  ptw.io.req.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && !cache.io.resp.bits.toFsm.l1Hit &&
2567797f035SbugGenerator    !cache.io.resp.bits.bypassed &&
257d0de7e4aSpeixiaokun    !cache.io.resp.bits.isFirst &&
258325f0a4eSpeixiaokun    !cache.io.resp.bits.isHptwReq
25992e3bfefSLemover  ptw.io.req.bits.req_info := cache.io.resp.bits.req_info
2603ea4388cSHaoyuan Feng  if (EnableSv48) {
2613ea4388cSHaoyuan Feng    ptw.io.req.bits.l3Hit.get := cache.io.resp.bits.toFsm.l3Hit.get
2623ea4388cSHaoyuan Feng  }
2633ea4388cSHaoyuan Feng  ptw.io.req.bits.l2Hit := cache.io.resp.bits.toFsm.l2Hit
26492e3bfefSLemover  ptw.io.req.bits.ppn := cache.io.resp.bits.toFsm.ppn
26530104977Speixiaokun  ptw.io.req.bits.stage1Hit := cache.io.resp.bits.toFsm.stage1Hit
2666979864eSXiaokun-Pei  ptw.io.req.bits.stage1 := cache.io.resp.bits.stage1
2677797f035SbugGenerator  ptw.io.sfence := sfence_dup(7)
2687797f035SbugGenerator  ptw.io.csr := csr_dup(6)
26992e3bfefSLemover  ptw.io.resp.ready := outReady(ptw.io.resp.bits.source, outArbFsmPort)
27092e3bfefSLemover
27183d93d53Speixiaokun  hptw.io.req.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && cache.io.resp.bits.isHptwReq
27282978df9Speixiaokun  hptw.io.req.bits.gvpn := cache.io.resp.bits.req_info.vpn
273d0de7e4aSpeixiaokun  hptw.io.req.bits.id := cache.io.resp.bits.toHptw.id
274c3d5cfb3Speixiaokun  hptw.io.req.bits.source := cache.io.resp.bits.req_info.source
2753ea4388cSHaoyuan Feng  if (EnableSv48) {
2763ea4388cSHaoyuan Feng    hptw.io.req.bits.l3Hit.get := cache.io.resp.bits.toHptw.l3Hit.get
2773ea4388cSHaoyuan Feng  }
278d0de7e4aSpeixiaokun  hptw.io.req.bits.l2Hit := cache.io.resp.bits.toHptw.l2Hit
2793ea4388cSHaoyuan Feng  hptw.io.req.bits.l1Hit := cache.io.resp.bits.toHptw.l1Hit
280979f601eSpeixiaokun  hptw.io.req.bits.ppn := cache.io.resp.bits.toHptw.ppn
28183d93d53Speixiaokun  hptw.io.req.bits.bypassed := cache.io.resp.bits.toHptw.bypassed
282d0de7e4aSpeixiaokun  hptw.io.sfence := sfence_dup(8)
283d0de7e4aSpeixiaokun  hptw.io.csr := csr_dup(7)
28492e3bfefSLemover  // mem req
28592e3bfefSLemover  def blockBytes_align(addr: UInt) = {
28692e3bfefSLemover    Cat(addr(PAddrBits - 1, log2Up(l2tlbParams.blockBytes)), 0.U(log2Up(l2tlbParams.blockBytes).W))
28792e3bfefSLemover  }
28892e3bfefSLemover  def addr_low_from_vpn(vpn: UInt) = {
28992e3bfefSLemover    vpn(log2Ceil(l2tlbParams.blockBytes)-log2Ceil(XLEN/8)-1, 0)
29092e3bfefSLemover  }
29192e3bfefSLemover  def addr_low_from_paddr(paddr: UInt) = {
29292e3bfefSLemover    paddr(log2Up(l2tlbParams.blockBytes)-1, log2Up(XLEN/8))
29392e3bfefSLemover  }
294d0de7e4aSpeixiaokun  def from_llptw(id: UInt) = {
295d0de7e4aSpeixiaokun    id < l2tlbParams.llptwsize.U
296d0de7e4aSpeixiaokun  }
297d0de7e4aSpeixiaokun  def from_ptw(id: UInt) = {
298d0de7e4aSpeixiaokun    id === l2tlbParams.llptwsize.U
299d0de7e4aSpeixiaokun  }
300d0de7e4aSpeixiaokun  def from_hptw(id: UInt) = {
301d0de7e4aSpeixiaokun    id === l2tlbParams.llptwsize.U + 1.U
30292e3bfefSLemover  }
30392e3bfefSLemover  val waiting_resp = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B)))
30492e3bfefSLemover  val flush_latch = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B)))
30583d93d53Speixiaokun  val hptw_bypassed = RegInit(false.B)
30692e3bfefSLemover  for (i <- waiting_resp.indices) {
30792e3bfefSLemover    assert(!flush_latch(i) || waiting_resp(i)) // when sfence_latch wait for mem resp, waiting_resp should be true
30892e3bfefSLemover  }
30992e3bfefSLemover
31092e3bfefSLemover  val llptw_out = llptw.io.out
31192e3bfefSLemover  val llptw_mem = llptw.io.mem
31297929664SXiaokun-Pei  llptw_mem.flush_latch := flush_latch.take(l2tlbParams.llptwsize)
31392e3bfefSLemover  llptw_mem.req_mask := waiting_resp.take(l2tlbParams.llptwsize)
314d61cd5eeSpeixiaokun  ptw.io.mem.mask := waiting_resp.apply(l2tlbParams.llptwsize)
315d61cd5eeSpeixiaokun  hptw.io.mem.mask := waiting_resp.apply(l2tlbParams.llptwsize + 1)
31692e3bfefSLemover
317d0de7e4aSpeixiaokun  val mem_arb = Module(new Arbiter(new L2TlbMemReqBundle(), 3))
31892e3bfefSLemover  mem_arb.io.in(0) <> ptw.io.mem.req
31992e3bfefSLemover  mem_arb.io.in(1) <> llptw_mem.req
320d0de7e4aSpeixiaokun  mem_arb.io.in(2) <> hptw.io.mem.req
32192e3bfefSLemover  mem_arb.io.out.ready := mem.a.ready && !flush
32292e3bfefSLemover
323*27ba10c1SXiaokun-Pei  // // assert, should not send mem access at same addr for twice.
324*27ba10c1SXiaokun-Pei  // val last_resp_vpn = RegEnable(cache.io.refill.bits.req_info_dup(0).vpn, cache.io.refill.valid)
325*27ba10c1SXiaokun-Pei  // val last_resp_s2xlate = RegEnable(cache.io.refill.bits.req_info_dup(0).s2xlate, cache.io.refill.valid)
326*27ba10c1SXiaokun-Pei  // val last_resp_level = RegEnable(cache.io.refill.bits.level_dup(0), cache.io.refill.valid)
327*27ba10c1SXiaokun-Pei  // val last_resp_v = RegInit(false.B)
328*27ba10c1SXiaokun-Pei  // val last_has_invalid = !Cat(cache.io.refill.bits.ptes.asTypeOf(Vec(blockBits/XLEN, UInt(XLEN.W))).map(a => a(0))).andR || cache.io.refill.bits.sel_pte_dup(0).asTypeOf(new PteBundle).isAf()
329*27ba10c1SXiaokun-Pei  // when (cache.io.refill.valid) { last_resp_v := !last_has_invalid}
330*27ba10c1SXiaokun-Pei  // when (flush) { last_resp_v := false.B }
331*27ba10c1SXiaokun-Pei  // XSError(last_resp_v && cache.io.refill.valid &&
332*27ba10c1SXiaokun-Pei  //   (cache.io.refill.bits.req_info_dup(0).vpn === last_resp_vpn) &&
333*27ba10c1SXiaokun-Pei  //   (cache.io.refill.bits.level_dup(0) === last_resp_level) &&
334*27ba10c1SXiaokun-Pei  //   (cache.io.refill.bits.req_info_dup(0).s2xlate === last_resp_s2xlate),
335*27ba10c1SXiaokun-Pei  //   "l2tlb should not access mem at same addr for twice")
336*27ba10c1SXiaokun-Pei  // // ATTENTION: this may wrongly assert when: a ptes is l2, last part is valid,
337*27ba10c1SXiaokun-Pei  // // but the current part is invalid, so one more mem access happened
338*27ba10c1SXiaokun-Pei  // // If this happened, remove the assert.
3391f4a7c0cSLemover
34092e3bfefSLemover  val req_addr_low = Reg(Vec(MemReqWidth, UInt((log2Up(l2tlbParams.blockBytes)-log2Up(XLEN/8)).W)))
34192e3bfefSLemover
342935edac4STang Haojin  when (llptw.io.in.fire) {
34392e3bfefSLemover    // when enq miss queue, set the req_addr_low to receive the mem resp data part
34492e3bfefSLemover    req_addr_low(llptw_mem.enq_ptr) := addr_low_from_vpn(llptw.io.in.bits.req_info.vpn)
34592e3bfefSLemover  }
346935edac4STang Haojin  when (mem_arb.io.out.fire) {
34792e3bfefSLemover    req_addr_low(mem_arb.io.out.bits.id) := addr_low_from_paddr(mem_arb.io.out.bits.addr)
34892e3bfefSLemover    waiting_resp(mem_arb.io.out.bits.id) := true.B
34983d93d53Speixiaokun    hptw_bypassed := from_hptw(mem_arb.io.out.bits.id) && mem_arb.io.out.bits.hptw_bypassed
35092e3bfefSLemover  }
35192e3bfefSLemover  // mem read
35292e3bfefSLemover  val memRead =  edge.Get(
35392e3bfefSLemover    fromSource = mem_arb.io.out.bits.id,
35492e3bfefSLemover    // toAddress  = memAddr(log2Up(CacheLineSize / 2 / 8) - 1, 0),
35592e3bfefSLemover    toAddress  = blockBytes_align(mem_arb.io.out.bits.addr),
35692e3bfefSLemover    lgSize     = log2Up(l2tlbParams.blockBytes).U
35792e3bfefSLemover  )._2
35892e3bfefSLemover  mem.a.bits := memRead
35992e3bfefSLemover  mem.a.valid := mem_arb.io.out.valid && !flush
360d2b20d1aSTang Haojin  mem.a.bits.user.lift(ReqSourceKey).foreach(_ := MemReqSource.PTW.id.U)
36192e3bfefSLemover  mem.d.ready := true.B
36292e3bfefSLemover  // mem -> data buffer
36397929664SXiaokun-Pei  val refill_data = RegInit(VecInit.fill(blockBits / l1BusDataWidth)(0.U(l1BusDataWidth.W)))
364935edac4STang Haojin  val refill_helper = edge.firstlastHelper(mem.d.bits, mem.d.fire)
36592e3bfefSLemover  val mem_resp_done = refill_helper._3
366d0de7e4aSpeixiaokun  val mem_resp_from_llptw = from_llptw(mem.d.bits.source)
367d0de7e4aSpeixiaokun  val mem_resp_from_ptw = from_ptw(mem.d.bits.source)
368d0de7e4aSpeixiaokun  val mem_resp_from_hptw = from_hptw(mem.d.bits.source)
36992e3bfefSLemover  when (mem.d.valid) {
370d0de7e4aSpeixiaokun    assert(mem.d.bits.source < MemReqWidth.U)
37192e3bfefSLemover    refill_data(refill_helper._4) := mem.d.bits.data
37292e3bfefSLemover  }
3737797f035SbugGenerator  // refill_data_tmp is the wire fork of refill_data, but one cycle earlier
3747797f035SbugGenerator  val refill_data_tmp = WireInit(refill_data)
3757797f035SbugGenerator  refill_data_tmp(refill_helper._4) := mem.d.bits.data
3767797f035SbugGenerator
37792e3bfefSLemover  // save only one pte for each id
37892e3bfefSLemover  // (miss queue may can't resp to tlb with low latency, it should have highest priority, but diffcult to design cache)
37992e3bfefSLemover  val resp_pte = VecInit((0 until MemReqWidth).map(i =>
38097929664SXiaokun-Pei    if (i == l2tlbParams.llptwsize + 1) {RegEnable(get_part(refill_data_tmp, req_addr_low(i)), 0.U.asTypeOf(get_part(refill_data_tmp, req_addr_low(i))), mem_resp_done && mem_resp_from_hptw) }
38197929664SXiaokun-Pei    else if (i == l2tlbParams.llptwsize) {RegEnable(get_part(refill_data_tmp, req_addr_low(i)), 0.U.asTypeOf(get_part(refill_data_tmp, req_addr_low(i))), mem_resp_done && mem_resp_from_ptw) }
38297929664SXiaokun-Pei    else { Mux(llptw_mem.buffer_it(i), get_part(refill_data, req_addr_low(i)), RegEnable(get_part(refill_data, req_addr_low(i)), 0.U.asTypeOf(get_part(refill_data, req_addr_low(i))), llptw_mem.buffer_it(i))) }
3837797f035SbugGenerator    // llptw could not use refill_data_tmp, because enq bypass's result works at next cycle
38492e3bfefSLemover  ))
38592e3bfefSLemover
38663632028SHaoyuan Feng  // save eight ptes for each id when sector tlb
38763632028SHaoyuan Feng  // (miss queue may can't resp to tlb with low latency, it should have highest priority, but diffcult to design cache)
38863632028SHaoyuan Feng  val resp_pte_sector = VecInit((0 until MemReqWidth).map(i =>
38997929664SXiaokun-Pei    if (i == l2tlbParams.llptwsize + 1) {RegEnable(refill_data_tmp, 0.U.asTypeOf(refill_data_tmp), mem_resp_done && mem_resp_from_hptw) }
39097929664SXiaokun-Pei    else if (i == l2tlbParams.llptwsize) {RegEnable(refill_data_tmp, 0.U.asTypeOf(refill_data_tmp), mem_resp_done && mem_resp_from_ptw) }
39197929664SXiaokun-Pei    else { Mux(llptw_mem.buffer_it(i), refill_data, RegEnable(refill_data, 0.U.asTypeOf(refill_data), llptw_mem.buffer_it(i))) }
39263632028SHaoyuan Feng    // llptw could not use refill_data_tmp, because enq bypass's result works at next cycle
39363632028SHaoyuan Feng  ))
39463632028SHaoyuan Feng
395d0de7e4aSpeixiaokun  // mem -> llptw
396d0de7e4aSpeixiaokun  llptw_mem.resp.valid := mem_resp_done && mem_resp_from_llptw
3977797f035SbugGenerator  llptw_mem.resp.bits.id := DataHoldBypass(mem.d.bits.source, mem.d.valid)
398ce5f4200SGuanghui Hu  llptw_mem.resp.bits.value := DataHoldBypass(refill_data_tmp.asUInt, mem.d.valid)
39992e3bfefSLemover  // mem -> ptw
400d0de7e4aSpeixiaokun  ptw.io.mem.resp.valid := mem_resp_done && mem_resp_from_ptw
401d61cd5eeSpeixiaokun  ptw.io.mem.resp.bits := resp_pte.apply(l2tlbParams.llptwsize)
402d0de7e4aSpeixiaokun  // mem -> hptw
403d0de7e4aSpeixiaokun  hptw.io.mem.resp.valid := mem_resp_done && mem_resp_from_hptw
404d61cd5eeSpeixiaokun  hptw.io.mem.resp.bits := resp_pte.apply(l2tlbParams.llptwsize + 1)
40592e3bfefSLemover  // mem -> cache
406d0de7e4aSpeixiaokun  val refill_from_llptw = mem_resp_from_llptw
407d0de7e4aSpeixiaokun  val refill_from_ptw = mem_resp_from_ptw
408d0de7e4aSpeixiaokun  val refill_from_hptw = mem_resp_from_hptw
4093ea4388cSHaoyuan Feng  val refill_level = Mux(refill_from_llptw, 0.U, Mux(refill_from_ptw, RegEnable(ptw.io.refill.level, 0.U, ptw.io.mem.req.fire), RegEnable(hptw.io.refill.level, 0.U, hptw.io.mem.req.fire)))
41083d93d53Speixiaokun  val refill_valid = mem_resp_done && !flush && !flush_latch(mem.d.bits.source) && !hptw_bypassed
4117797f035SbugGenerator
4125adc4829SYanqin Li  cache.io.refill.valid := GatedValidRegNext(refill_valid, false.B)
41392e3bfefSLemover  cache.io.refill.bits.ptes := refill_data.asUInt
414d0de7e4aSpeixiaokun  cache.io.refill.bits.req_info_dup.map(_ := RegEnable(Mux(refill_from_llptw, llptw_mem.refill, Mux(refill_from_ptw, ptw.io.refill.req_info, hptw.io.refill.req_info)), refill_valid))
4157797f035SbugGenerator  cache.io.refill.bits.level_dup.map(_ := RegEnable(refill_level, refill_valid))
4167797f035SbugGenerator  cache.io.refill.bits.levelOH(refill_level, refill_valid)
4175adc4829SYanqin Li  cache.io.refill.bits.sel_pte_dup.map(_ := RegEnable(sel_data(refill_data_tmp.asUInt, req_addr_low(mem.d.bits.source)), refill_valid))
41892e3bfefSLemover
4199c26bab7SHaoyuan Feng  if (env.EnableDifftest) {
4209c26bab7SHaoyuan Feng    val difftest_ptw_addr = RegInit(VecInit(Seq.fill(MemReqWidth)(0.U(PAddrBits.W))))
4219c26bab7SHaoyuan Feng    when (mem.a.valid) {
4229c26bab7SHaoyuan Feng      difftest_ptw_addr(mem.a.bits.source) := mem.a.bits.address
4239c26bab7SHaoyuan Feng    }
4249c26bab7SHaoyuan Feng
425a0c65233SYinan Xu    val difftest = DifftestModule(new DiffRefillEvent, dontCare = true)
426254e4960SHaoyuan Feng    difftest.coreid := io.hartId
4277d45a146SYinan Xu    difftest.index := 2.U
4287d45a146SYinan Xu    difftest.valid := cache.io.refill.valid
4295adc4829SYanqin Li    difftest.addr := difftest_ptw_addr(RegEnable(mem.d.bits.source, mem.d.valid))
4307d45a146SYinan Xu    difftest.data := refill_data.asTypeOf(difftest.data)
431935edac4STang Haojin    difftest.idtfr := DontCare
4329c26bab7SHaoyuan Feng  }
4339c26bab7SHaoyuan Feng
4345ab1b84dSHaoyuan Feng  if (env.EnableDifftest) {
4355ab1b84dSHaoyuan Feng    for (i <- 0 until PtwWidth) {
4367d45a146SYinan Xu      val difftest = DifftestModule(new DiffL2TLBEvent)
437b436d3b6Speixiaokun      difftest.coreid := io.hartId
438d61cd5eeSpeixiaokun      difftest.valid := io.tlb(i).resp.fire && !io.tlb(i).resp.bits.s1.af && !io.tlb(i).resp.bits.s2.gaf
4397d45a146SYinan Xu      difftest.index := i.U
44087d0ba30Speixiaokun      difftest.vpn := Cat(io.tlb(i).resp.bits.s1.entry.tag, 0.U(sectortlbwidth.W))
441002c10a4SYanqin Li      difftest.pbmt := io.tlb(i).resp.bits.s1.entry.pbmt
442002c10a4SYanqin Li      difftest.g_pbmt := io.tlb(i).resp.bits.s2.entry.pbmt
44363632028SHaoyuan Feng      for (j <- 0 until tlbcontiguous) {
444b436d3b6Speixiaokun        difftest.ppn(j) := Cat(io.tlb(i).resp.bits.s1.entry.ppn, io.tlb(i).resp.bits.s1.ppn_low(j))
445b436d3b6Speixiaokun        difftest.valididx(j) := io.tlb(i).resp.bits.s1.valididx(j)
44687d0ba30Speixiaokun        difftest.pteidx(j) := io.tlb(i).resp.bits.s1.pteidx(j)
44763632028SHaoyuan Feng      }
44887d0ba30Speixiaokun      difftest.perm := io.tlb(i).resp.bits.s1.entry.perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt
44987d0ba30Speixiaokun      difftest.level := io.tlb(i).resp.bits.s1.entry.level.getOrElse(0.U.asUInt)
45087d0ba30Speixiaokun      difftest.pf := io.tlb(i).resp.bits.s1.pf
45187d0ba30Speixiaokun      difftest.satp := Cat(io.csr.tlb.satp.mode, io.csr.tlb.satp.asid, io.csr.tlb.satp.ppn)
45287d0ba30Speixiaokun      difftest.vsatp := Cat(io.csr.tlb.vsatp.mode, io.csr.tlb.vsatp.asid, io.csr.tlb.vsatp.ppn)
45397929664SXiaokun-Pei      difftest.hgatp := Cat(io.csr.tlb.hgatp.mode, io.csr.tlb.hgatp.vmid, io.csr.tlb.hgatp.ppn)
45487d0ba30Speixiaokun      difftest.gvpn := io.tlb(i).resp.bits.s2.entry.tag
45587d0ba30Speixiaokun      difftest.g_perm := io.tlb(i).resp.bits.s2.entry.perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt
45687d0ba30Speixiaokun      difftest.g_level := io.tlb(i).resp.bits.s2.entry.level.getOrElse(0.U.asUInt)
45787d0ba30Speixiaokun      difftest.s2ppn := io.tlb(i).resp.bits.s2.entry.ppn
45887d0ba30Speixiaokun      difftest.gpf := io.tlb(i).resp.bits.s2.gpf
45987d0ba30Speixiaokun      difftest.s2xlate := io.tlb(i).resp.bits.s2xlate
4605ab1b84dSHaoyuan Feng    }
4615ab1b84dSHaoyuan Feng  }
4625ab1b84dSHaoyuan Feng
46392e3bfefSLemover  // pmp
46492e3bfefSLemover  pmp_check(0).req <> ptw.io.pmp.req
46592e3bfefSLemover  ptw.io.pmp.resp <> pmp_check(0).resp
46692e3bfefSLemover  pmp_check(1).req <> llptw.io.pmp.req
46792e3bfefSLemover  llptw.io.pmp.resp <> pmp_check(1).resp
468c3d5cfb3Speixiaokun  pmp_check(2).req <> hptw.io.pmp.req
469c3d5cfb3Speixiaokun  hptw.io.pmp.resp <> pmp_check(2).resp
47092e3bfefSLemover
47192e3bfefSLemover  llptw_out.ready := outReady(llptw_out.bits.req_info.source, outArbMqPort)
47263632028SHaoyuan Feng
473d0de7e4aSpeixiaokun  // hptw and page cache -> ptw and llptw
474d0de7e4aSpeixiaokun  val HptwRespArbCachePort = 0
475eb4bf3f2Speixiaokun  val HptwRespArbHptw = 1
476325f0a4eSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbCachePort).valid := cache.io.resp.valid && cache.io.resp.bits.hit && cache.io.resp.bits.isHptwReq
477d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbCachePort).bits.id := cache.io.resp.bits.toHptw.id
478d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbCachePort).bits.resp := cache.io.resp.bits.toHptw.resp
479d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbHptw).valid := hptw.io.resp.valid
480d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbHptw).bits.id := hptw.io.resp.bits.id
481d0de7e4aSpeixiaokun  hptw_resp_arb.io.in(HptwRespArbHptw).bits.resp := hptw.io.resp.bits.resp
482c2b430edSpeixiaokun  hptw.io.resp.ready := hptw_resp_arb.io.in(HptwRespArbHptw).ready
483d0de7e4aSpeixiaokun
484d0de7e4aSpeixiaokun  ptw.io.hptw.resp.valid := hptw_resp_arb.io.out.valid && hptw_resp_arb.io.out.bits.id === FsmReqID.U
485d0de7e4aSpeixiaokun  ptw.io.hptw.resp.bits.h_resp := hptw_resp_arb.io.out.bits.resp
486d0de7e4aSpeixiaokun  llptw.io.hptw.resp.valid := hptw_resp_arb.io.out.valid && hptw_resp_arb.io.out.bits.id =/= FsmReqID.U
487c3d5cfb3Speixiaokun  llptw.io.hptw.resp.bits.id := hptw_resp_arb.io.out.bits.id
488d0de7e4aSpeixiaokun  llptw.io.hptw.resp.bits.h_resp := hptw_resp_arb.io.out.bits.resp
489c3d5cfb3Speixiaokun  hptw_resp_arb.io.out.ready := true.B
490d0de7e4aSpeixiaokun
49163632028SHaoyuan Feng  // Timing: Maybe need to do some optimization or even add one more cycle
49292e3bfefSLemover  for (i <- 0 until PtwWidth) {
493325f0a4eSpeixiaokun    mergeArb(i).in(outArbCachePort).valid := cache.io.resp.valid && cache.io.resp.bits.hit && cache.io.resp.bits.req_info.source===i.U && !cache.io.resp.bits.isHptwReq
494d0de7e4aSpeixiaokun    mergeArb(i).in(outArbCachePort).bits.s2xlate := cache.io.resp.bits.req_info.s2xlate
4956979864eSXiaokun-Pei    mergeArb(i).in(outArbCachePort).bits.s1 := cache.io.resp.bits.stage1
496eb4bf3f2Speixiaokun    mergeArb(i).in(outArbCachePort).bits.s2 := cache.io.resp.bits.toHptw.resp
49763632028SHaoyuan Feng    mergeArb(i).in(outArbFsmPort).valid := ptw.io.resp.valid && ptw.io.resp.bits.source===i.U
498d0de7e4aSpeixiaokun    mergeArb(i).in(outArbFsmPort).bits.s2xlate := ptw.io.resp.bits.s2xlate
499eb4bf3f2Speixiaokun    mergeArb(i).in(outArbFsmPort).bits.s1 := ptw.io.resp.bits.resp
500eb4bf3f2Speixiaokun    mergeArb(i).in(outArbFsmPort).bits.s2 := ptw.io.resp.bits.h_resp
50163632028SHaoyuan Feng    mergeArb(i).in(outArbMqPort).valid := llptw_out.valid && llptw_out.bits.req_info.source===i.U
502d0de7e4aSpeixiaokun    mergeArb(i).in(outArbMqPort).bits.s2xlate := llptw_out.bits.req_info.s2xlate
5030ede9a33SXiaokun-Pei    mergeArb(i).in(outArbMqPort).bits.s1 := Mux(llptw_out.bits.first_s2xlate_fault, llptw_stage1(llptw_out.bits.id), contiguous_pte_to_merge_ptwResp(resp_pte_sector(llptw_out.bits.id).asUInt, llptw_out.bits.req_info.vpn, llptw_out.bits.af, true, s2xlate = llptw_out.bits.req_info.s2xlate))
504eb4bf3f2Speixiaokun    mergeArb(i).in(outArbMqPort).bits.s2 := llptw_out.bits.h_resp
50563632028SHaoyuan Feng    mergeArb(i).out.ready := outArb(i).in(0).ready
50663632028SHaoyuan Feng  }
50763632028SHaoyuan Feng
50863632028SHaoyuan Feng  for (i <- 0 until PtwWidth) {
50963632028SHaoyuan Feng    outArb(i).in(0).valid := mergeArb(i).out.valid
510eb4bf3f2Speixiaokun    outArb(i).in(0).bits.s2xlate := mergeArb(i).out.bits.s2xlate
511eb4bf3f2Speixiaokun    outArb(i).in(0).bits.s1 := merge_ptwResp_to_sector_ptwResp(mergeArb(i).out.bits.s1)
512eb4bf3f2Speixiaokun    outArb(i).in(0).bits.s2 := mergeArb(i).out.bits.s2
51392e3bfefSLemover  }
51492e3bfefSLemover
51592e3bfefSLemover  // io.tlb.map(_.resp) <> outArb.map(_.out)
51692e3bfefSLemover  io.tlb.map(_.resp).zip(outArb.map(_.out)).map{
51792e3bfefSLemover    case (resp, out) => resp <> out
51892e3bfefSLemover  }
51992e3bfefSLemover
52092e3bfefSLemover  // sfence
52192e3bfefSLemover  when (flush) {
52292e3bfefSLemover    for (i <- 0 until MemReqWidth) {
52392e3bfefSLemover      when (waiting_resp(i)) {
52492e3bfefSLemover        flush_latch(i) := true.B
52592e3bfefSLemover      }
52692e3bfefSLemover    }
52792e3bfefSLemover  }
52892e3bfefSLemover  // mem -> control signal
52992e3bfefSLemover  // waiting_resp and sfence_latch will be reset when mem_resp_done
53092e3bfefSLemover  when (mem_resp_done) {
53192e3bfefSLemover    waiting_resp(mem.d.bits.source) := false.B
53292e3bfefSLemover    flush_latch(mem.d.bits.source) := false.B
53392e3bfefSLemover  }
53492e3bfefSLemover
53592e3bfefSLemover  def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = {
53692e3bfefSLemover    sink.valid   := source.valid && !block_signal
53792e3bfefSLemover    source.ready := sink.ready   && !block_signal
53892e3bfefSLemover    sink.bits    := source.bits
53992e3bfefSLemover  }
54092e3bfefSLemover
54192e3bfefSLemover  def get_part(data: Vec[UInt], index: UInt): UInt = {
54292e3bfefSLemover    val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W)))
54392e3bfefSLemover    inner_data(index)
54492e3bfefSLemover  }
54592e3bfefSLemover
54663632028SHaoyuan Feng  // not_super means that this is a normal page
54763632028SHaoyuan Feng  // valididx(i) will be all true when super page to be convenient for l1 tlb matching
548eb4bf3f2Speixiaokun  def contiguous_pte_to_merge_ptwResp(pte: UInt, vpn: UInt, af: Bool, af_first: Boolean, not_super: Boolean = true, s2xlate: UInt) : PtwMergeResp = {
54963632028SHaoyuan Feng    assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!")
55063632028SHaoyuan Feng    val ptw_merge_resp = Wire(new PtwMergeResp())
551eb4bf3f2Speixiaokun    val hasS2xlate = s2xlate =/= noS2xlate
55263632028SHaoyuan Feng    for (i <- 0 until tlbcontiguous) {
55363632028SHaoyuan Feng      val pte_in = pte(64 * i + 63, 64 * i).asTypeOf(new PteBundle())
55463632028SHaoyuan Feng      val ptw_resp = Wire(new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true))
55597929664SXiaokun-Pei      ptw_resp.ppn := pte_in.getPPN()(ptePPNLen - 1, sectortlbwidth)
55697929664SXiaokun-Pei      ptw_resp.ppn_low := pte_in.getPPN()(sectortlbwidth - 1, 0)
5573ea4388cSHaoyuan Feng      ptw_resp.level.map(_ := 0.U)
558002c10a4SYanqin Li      ptw_resp.pbmt := pte_in.pbmt
55963632028SHaoyuan Feng      ptw_resp.perm.map(_ := pte_in.getPerm())
56063632028SHaoyuan Feng      ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth)
5613ea4388cSHaoyuan Feng      ptw_resp.pf := (if (af_first) !af else true.B) && (pte_in.isPf(0.U) || !pte_in.isLeaf())
5623ea4388cSHaoyuan Feng      ptw_resp.af := (if (!af_first) pte_in.isPf(0.U) else true.B) && (af || Mux(s2xlate === allStage, false.B, pte_in.isAf()))
56363632028SHaoyuan Feng      ptw_resp.v := !ptw_resp.pf
56463632028SHaoyuan Feng      ptw_resp.prefetch := DontCare
565eb4bf3f2Speixiaokun      ptw_resp.asid := Mux(hasS2xlate, vsatp.asid, satp.asid)
56697929664SXiaokun-Pei      ptw_resp.vmid.map(_ := hgatp.vmid)
56763632028SHaoyuan Feng      ptw_merge_resp.entry(i) := ptw_resp
56863632028SHaoyuan Feng    }
56963632028SHaoyuan Feng    ptw_merge_resp.pteidx := UIntToOH(vpn(sectortlbwidth - 1, 0)).asBools
57063632028SHaoyuan Feng    ptw_merge_resp.not_super := not_super.B
57163632028SHaoyuan Feng    ptw_merge_resp
57263632028SHaoyuan Feng  }
57363632028SHaoyuan Feng
57463632028SHaoyuan Feng  def merge_ptwResp_to_sector_ptwResp(pte: PtwMergeResp) : PtwSectorResp = {
57563632028SHaoyuan Feng    assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!")
57663632028SHaoyuan Feng    val ptw_sector_resp = Wire(new PtwSectorResp)
57763632028SHaoyuan Feng    ptw_sector_resp.entry.tag := pte.entry(OHToUInt(pte.pteidx)).tag
57863632028SHaoyuan Feng    ptw_sector_resp.entry.asid := pte.entry(OHToUInt(pte.pteidx)).asid
579c3d5cfb3Speixiaokun    ptw_sector_resp.entry.vmid.map(_ := pte.entry(OHToUInt(pte.pteidx)).vmid.getOrElse(0.U))
58063632028SHaoyuan Feng    ptw_sector_resp.entry.ppn := pte.entry(OHToUInt(pte.pteidx)).ppn
581002c10a4SYanqin Li    ptw_sector_resp.entry.pbmt := pte.entry(OHToUInt(pte.pteidx)).pbmt
58263632028SHaoyuan Feng    ptw_sector_resp.entry.perm.map(_ := pte.entry(OHToUInt(pte.pteidx)).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)))
5833ea4388cSHaoyuan Feng    ptw_sector_resp.entry.level.map(_ := pte.entry(OHToUInt(pte.pteidx)).level.getOrElse(0.U(log2Up(Level + 1).W)))
58463632028SHaoyuan Feng    ptw_sector_resp.entry.prefetch := pte.entry(OHToUInt(pte.pteidx)).prefetch
58563632028SHaoyuan Feng    ptw_sector_resp.entry.v := pte.entry(OHToUInt(pte.pteidx)).v
58663632028SHaoyuan Feng    ptw_sector_resp.af := pte.entry(OHToUInt(pte.pteidx)).af
58763632028SHaoyuan Feng    ptw_sector_resp.pf := pte.entry(OHToUInt(pte.pteidx)).pf
58863632028SHaoyuan Feng    ptw_sector_resp.addr_low := OHToUInt(pte.pteidx)
589b0fa7106SHaoyuan Feng    ptw_sector_resp.pteidx := pte.pteidx
59063632028SHaoyuan Feng    for (i <- 0 until tlbcontiguous) {
59163632028SHaoyuan Feng      val ppn_equal = pte.entry(i).ppn === pte.entry(OHToUInt(pte.pteidx)).ppn
592002c10a4SYanqin Li      val pbmt_equal = pte.entry(i).pbmt === pte.entry(OHToUInt(pte.pteidx)).pbmt
59363632028SHaoyuan Feng      val perm_equal = pte.entry(i).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt === pte.entry(OHToUInt(pte.pteidx)).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt
59463632028SHaoyuan Feng      val v_equal = pte.entry(i).v === pte.entry(OHToUInt(pte.pteidx)).v
59563632028SHaoyuan Feng      val af_equal = pte.entry(i).af === pte.entry(OHToUInt(pte.pteidx)).af
59663632028SHaoyuan Feng      val pf_equal = pte.entry(i).pf === pte.entry(OHToUInt(pte.pteidx)).pf
597002c10a4SYanqin Li      ptw_sector_resp.valididx(i) := (ppn_equal && pbmt_equal && perm_equal && v_equal && af_equal && pf_equal) || !pte.not_super
59863632028SHaoyuan Feng      ptw_sector_resp.ppn_low(i) := pte.entry(i).ppn_low
59963632028SHaoyuan Feng    }
60063632028SHaoyuan Feng    ptw_sector_resp.valididx(OHToUInt(pte.pteidx)) := true.B
60163632028SHaoyuan Feng    ptw_sector_resp
60263632028SHaoyuan Feng  }
60363632028SHaoyuan Feng
60492e3bfefSLemover  def outReady(source: UInt, port: Int): Bool = {
60545f43e6eSTang Haojin    MuxLookup(source, true.B)((0 until PtwWidth).map(i => i.U -> mergeArb(i).in(port).ready))
60692e3bfefSLemover  }
60792e3bfefSLemover
60892e3bfefSLemover  // debug info
60992e3bfefSLemover  for (i <- 0 until PtwWidth) {
61092e3bfefSLemover    XSDebug(p"[io.tlb(${i.U})] ${io.tlb(i)}\n")
61192e3bfefSLemover  }
6127797f035SbugGenerator  XSDebug(p"[sfence] ${io.sfence}\n")
61392e3bfefSLemover  XSDebug(p"[io.csr.tlb] ${io.csr.tlb}\n")
61492e3bfefSLemover
61592e3bfefSLemover  for (i <- 0 until PtwWidth) {
616935edac4STang Haojin    XSPerfAccumulate(s"req_count${i}", io.tlb(i).req(0).fire)
61792e3bfefSLemover    XSPerfAccumulate(s"req_blocked_count_${i}", io.tlb(i).req(0).valid && !io.tlb(i).req(0).ready)
61892e3bfefSLemover  }
61992e3bfefSLemover  XSPerfAccumulate(s"req_blocked_by_mq", arb1.io.out.valid && missQueue.io.out.valid)
62092e3bfefSLemover  for (i <- 0 until (MemReqWidth + 1)) {
62192e3bfefSLemover    XSPerfAccumulate(s"mem_req_util${i}", PopCount(waiting_resp) === i.U)
62292e3bfefSLemover  }
62392e3bfefSLemover  XSPerfAccumulate("mem_cycle", PopCount(waiting_resp) =/= 0.U)
624935edac4STang Haojin  XSPerfAccumulate("mem_count", mem.a.fire)
625dd7fe201SHaoyuan Feng  for (i <- 0 until PtwWidth) {
626eb4bf3f2Speixiaokun    XSPerfAccumulate(s"llptw_ppn_af${i}", mergeArb(i).in(outArbMqPort).valid && mergeArb(i).in(outArbMqPort).bits.s1.entry(OHToUInt(mergeArb(i).in(outArbMqPort).bits.s1.pteidx)).af && !llptw_out.bits.af)
627d61cd5eeSpeixiaokun    XSPerfAccumulate(s"access_fault${i}", io.tlb(i).resp.fire && io.tlb(i).resp.bits.s1.af)
628dd7fe201SHaoyuan Feng  }
62992e3bfefSLemover
63092e3bfefSLemover  // print configs
6313ea4388cSHaoyuan Feng  println(s"${l2tlbParams.name}: a ptw, a llptw with size ${l2tlbParams.llptwsize}, miss queue size ${MissQueueSize} l2:${l2tlbParams.l2Size} fa l1: nSets ${l2tlbParams.l1nSets} nWays ${l2tlbParams.l1nWays} l0: ${l2tlbParams.l0nSets} nWays ${l2tlbParams.l0nWays} blockBytes:${l2tlbParams.blockBytes}")
63292e3bfefSLemover
63392e3bfefSLemover  // time out assert
63492e3bfefSLemover  for (i <- 0 until MemReqWidth) {
63592e3bfefSLemover    TimeOutAssert(waiting_resp(i), timeOutThreshold, s"ptw mem resp time out wait_resp${i}")
63692e3bfefSLemover    TimeOutAssert(flush_latch(i), timeOutThreshold, s"ptw mem resp time out flush_latch${i}")
63792e3bfefSLemover  }
63892e3bfefSLemover
63992e3bfefSLemover
64092e3bfefSLemover  val perfEvents  = Seq(llptw, cache, ptw).flatMap(_.getPerfEvents)
64192e3bfefSLemover  generatePerfEvent()
6425afdf73cSHaoyuan Feng
643c686adcdSYinan Xu  val isWriteL1TlbTable = Constantin.createRecord(s"isWriteL1TlbTable$hartId")
644c686adcdSYinan Xu  val L1TlbTable = ChiselDB.createTable(s"L1Tlb_hart$hartId", new L1TlbDB)
6455afdf73cSHaoyuan Feng  val ITlbReqDB, DTlbReqDB, ITlbRespDB, DTlbRespDB = Wire(new L1TlbDB)
6465afdf73cSHaoyuan Feng  ITlbReqDB.vpn := io.tlb(0).req(0).bits.vpn
6475afdf73cSHaoyuan Feng  DTlbReqDB.vpn := io.tlb(1).req(0).bits.vpn
648d61cd5eeSpeixiaokun  ITlbRespDB.vpn := io.tlb(0).resp.bits.s1.entry.tag
649d61cd5eeSpeixiaokun  DTlbRespDB.vpn := io.tlb(1).resp.bits.s1.entry.tag
650da3bf434SMaxpicca-Li  L1TlbTable.log(ITlbReqDB, isWriteL1TlbTable.orR && io.tlb(0).req(0).fire, "ITlbReq", clock, reset)
651da3bf434SMaxpicca-Li  L1TlbTable.log(DTlbReqDB, isWriteL1TlbTable.orR && io.tlb(1).req(0).fire, "DTlbReq", clock, reset)
652da3bf434SMaxpicca-Li  L1TlbTable.log(ITlbRespDB, isWriteL1TlbTable.orR && io.tlb(0).resp.fire, "ITlbResp", clock, reset)
653da3bf434SMaxpicca-Li  L1TlbTable.log(DTlbRespDB, isWriteL1TlbTable.orR && io.tlb(1).resp.fire, "DTlbResp", clock, reset)
6545afdf73cSHaoyuan Feng
655c686adcdSYinan Xu  val isWritePageCacheTable = Constantin.createRecord(s"isWritePageCacheTable$hartId")
656c686adcdSYinan Xu  val PageCacheTable = ChiselDB.createTable(s"PageCache_hart$hartId", new PageCacheDB)
6575afdf73cSHaoyuan Feng  val PageCacheDB = Wire(new PageCacheDB)
6586979864eSXiaokun-Pei  PageCacheDB.vpn := Cat(cache.io.resp.bits.stage1.entry(0).tag, OHToUInt(cache.io.resp.bits.stage1.pteidx))
6595afdf73cSHaoyuan Feng  PageCacheDB.source := cache.io.resp.bits.req_info.source
6605afdf73cSHaoyuan Feng  PageCacheDB.bypassed := cache.io.resp.bits.bypassed
6615afdf73cSHaoyuan Feng  PageCacheDB.is_first := cache.io.resp.bits.isFirst
6626979864eSXiaokun-Pei  PageCacheDB.prefetched := cache.io.resp.bits.stage1.entry(0).prefetch
6635afdf73cSHaoyuan Feng  PageCacheDB.prefetch := cache.io.resp.bits.prefetch
6645afdf73cSHaoyuan Feng  PageCacheDB.l2Hit := cache.io.resp.bits.toFsm.l2Hit
6655afdf73cSHaoyuan Feng  PageCacheDB.l1Hit := cache.io.resp.bits.toFsm.l1Hit
6665afdf73cSHaoyuan Feng  PageCacheDB.hit := cache.io.resp.bits.hit
667da3bf434SMaxpicca-Li  PageCacheTable.log(PageCacheDB, isWritePageCacheTable.orR && cache.io.resp.fire, "PageCache", clock, reset)
6685afdf73cSHaoyuan Feng
669c686adcdSYinan Xu  val isWritePTWTable = Constantin.createRecord(s"isWritePTWTable$hartId")
670c686adcdSYinan Xu  val PTWTable = ChiselDB.createTable(s"PTW_hart$hartId", new PTWDB)
6715afdf73cSHaoyuan Feng  val PTWReqDB, PTWRespDB, LLPTWReqDB, LLPTWRespDB = Wire(new PTWDB)
6725afdf73cSHaoyuan Feng  PTWReqDB.vpn := ptw.io.req.bits.req_info.vpn
6735afdf73cSHaoyuan Feng  PTWReqDB.source := ptw.io.req.bits.req_info.source
6745afdf73cSHaoyuan Feng  PTWRespDB.vpn := ptw.io.refill.req_info.vpn
6755afdf73cSHaoyuan Feng  PTWRespDB.source := ptw.io.refill.req_info.source
6765afdf73cSHaoyuan Feng  LLPTWReqDB.vpn := llptw.io.in.bits.req_info.vpn
6775afdf73cSHaoyuan Feng  LLPTWReqDB.source := llptw.io.in.bits.req_info.source
6785afdf73cSHaoyuan Feng  LLPTWRespDB.vpn := llptw.io.mem.refill.vpn
6795afdf73cSHaoyuan Feng  LLPTWRespDB.source := llptw.io.mem.refill.source
680da3bf434SMaxpicca-Li  PTWTable.log(PTWReqDB, isWritePTWTable.orR && ptw.io.req.fire, "PTWReq", clock, reset)
681da3bf434SMaxpicca-Li  PTWTable.log(PTWRespDB, isWritePTWTable.orR && ptw.io.mem.resp.fire, "PTWResp", clock, reset)
682da3bf434SMaxpicca-Li  PTWTable.log(LLPTWReqDB, isWritePTWTable.orR && llptw.io.in.fire, "LLPTWReq", clock, reset)
683da3bf434SMaxpicca-Li  PTWTable.log(LLPTWRespDB, isWritePTWTable.orR && llptw.io.mem.resp.fire, "LLPTWResp", clock, reset)
6845afdf73cSHaoyuan Feng
685c686adcdSYinan Xu  val isWriteL2TlbMissQueueTable = Constantin.createRecord(s"isWriteL2TlbMissQueueTable$hartId")
686c686adcdSYinan Xu  val L2TlbMissQueueTable = ChiselDB.createTable(s"L2TlbMissQueue_hart$hartId", new L2TlbMissQueueDB)
6875afdf73cSHaoyuan Feng  val L2TlbMissQueueInDB, L2TlbMissQueueOutDB = Wire(new L2TlbMissQueueDB)
6886967f5d5Speixiaokun  L2TlbMissQueueInDB.vpn := missQueue.io.in.bits.req_info.vpn
6896967f5d5Speixiaokun  L2TlbMissQueueOutDB.vpn := missQueue.io.out.bits.req_info.vpn
690da3bf434SMaxpicca-Li  L2TlbMissQueueTable.log(L2TlbMissQueueInDB, isWriteL2TlbMissQueueTable.orR && missQueue.io.in.fire, "L2TlbMissQueueIn", clock, reset)
691da3bf434SMaxpicca-Li  L2TlbMissQueueTable.log(L2TlbMissQueueOutDB, isWriteL2TlbMissQueueTable.orR && missQueue.io.out.fire, "L2TlbMissQueueOut", clock, reset)
69292e3bfefSLemover}
69392e3bfefSLemover
6947797f035SbugGenerator/** BlockHelper, block missqueue, not to send too many req to cache
6957797f035SbugGenerator *  Parameter:
6967797f035SbugGenerator *    enable: enable BlockHelper, mq should not send too many reqs
6977797f035SbugGenerator *    start: when miss queue out fire and need, block miss queue's out
6987797f035SbugGenerator *    block: block miss queue's out
6997797f035SbugGenerator *    latency: last missqueue out's cache access latency
7007797f035SbugGenerator */
7017797f035SbugGeneratorclass BlockHelper(latency: Int)(implicit p: Parameters) extends XSModule {
7027797f035SbugGenerator  val io = IO(new Bundle {
7037797f035SbugGenerator    val enable = Input(Bool())
7047797f035SbugGenerator    val start = Input(Bool())
7057797f035SbugGenerator    val block = Output(Bool())
7067797f035SbugGenerator  })
7077797f035SbugGenerator
7087797f035SbugGenerator  val count = RegInit(0.U(log2Ceil(latency).W))
7097797f035SbugGenerator  val valid = RegInit(false.B)
7107797f035SbugGenerator  val work = RegInit(true.B)
7117797f035SbugGenerator
7127797f035SbugGenerator  io.block := valid
7137797f035SbugGenerator
7147797f035SbugGenerator  when (io.start && work) { valid := true.B }
7157797f035SbugGenerator  when (valid) { count := count + 1.U }
7167797f035SbugGenerator  when (count === (latency.U) || io.enable) {
7177797f035SbugGenerator    valid := false.B
7187797f035SbugGenerator    work := io.enable
7197797f035SbugGenerator    count := 0.U
7207797f035SbugGenerator  }
7217797f035SbugGenerator}
7227797f035SbugGenerator
72392e3bfefSLemoverclass PTEHelper() extends ExtModule {
72492e3bfefSLemover  val clock  = IO(Input(Clock()))
72592e3bfefSLemover  val enable = IO(Input(Bool()))
72692e3bfefSLemover  val satp   = IO(Input(UInt(64.W)))
72792e3bfefSLemover  val vpn    = IO(Input(UInt(64.W)))
72892e3bfefSLemover  val pte    = IO(Output(UInt(64.W)))
72992e3bfefSLemover  val level  = IO(Output(UInt(8.W)))
73092e3bfefSLemover  val pf     = IO(Output(UInt(8.W)))
73192e3bfefSLemover}
73292e3bfefSLemover
7335afdf73cSHaoyuan Fengclass PTWDelayN[T <: Data](gen: T, n: Int, flush: Bool) extends Module {
7345afdf73cSHaoyuan Feng  val io = IO(new Bundle() {
7355afdf73cSHaoyuan Feng    val in = Input(gen)
7365afdf73cSHaoyuan Feng    val out = Output(gen)
7375afdf73cSHaoyuan Feng    val ptwflush = Input(flush.cloneType)
7385afdf73cSHaoyuan Feng  })
7395afdf73cSHaoyuan Feng  val out = RegInit(VecInit(Seq.fill(n)(0.U.asTypeOf(gen))))
7405afdf73cSHaoyuan Feng  val t = RegInit(VecInit(Seq.fill(n)(0.U.asTypeOf(gen))))
7415afdf73cSHaoyuan Feng  out(0) := io.in
7425afdf73cSHaoyuan Feng  if (n == 1) {
7435afdf73cSHaoyuan Feng    io.out := out(0)
7445afdf73cSHaoyuan Feng  } else {
7455afdf73cSHaoyuan Feng    when (io.ptwflush) {
7465afdf73cSHaoyuan Feng      for (i <- 0 until n) {
7475afdf73cSHaoyuan Feng        t(i) := 0.U.asTypeOf(gen)
7485afdf73cSHaoyuan Feng        out(i) := 0.U.asTypeOf(gen)
7495afdf73cSHaoyuan Feng      }
7505afdf73cSHaoyuan Feng      io.out := 0.U.asTypeOf(gen)
7515afdf73cSHaoyuan Feng    } .otherwise {
7525afdf73cSHaoyuan Feng      for (i <- 1 until n) {
7535afdf73cSHaoyuan Feng        t(i-1) := out(i-1)
7545afdf73cSHaoyuan Feng        out(i) := t(i-1)
7555afdf73cSHaoyuan Feng      }
7565afdf73cSHaoyuan Feng      io.out := out(n-1)
7575afdf73cSHaoyuan Feng    }
7585afdf73cSHaoyuan Feng  }
7595afdf73cSHaoyuan Feng}
7605afdf73cSHaoyuan Feng
7615afdf73cSHaoyuan Fengobject PTWDelayN {
7625afdf73cSHaoyuan Feng  def apply[T <: Data](in: T, n: Int, flush: Bool): T = {
7635afdf73cSHaoyuan Feng    val delay = Module(new PTWDelayN(in.cloneType, n, flush))
7645afdf73cSHaoyuan Feng    delay.io.in := in
7655afdf73cSHaoyuan Feng    delay.io.ptwflush := flush
7665afdf73cSHaoyuan Feng    delay.io.out
7675afdf73cSHaoyuan Feng  }
7685afdf73cSHaoyuan Feng}
7695afdf73cSHaoyuan Feng
77092e3bfefSLemoverclass FakePTW()(implicit p: Parameters) extends XSModule with HasPtwConst {
77192e3bfefSLemover  val io = IO(new L2TLBIO)
7725afdf73cSHaoyuan Feng  val flush = VecInit(Seq.fill(PtwWidth)(false.B))
7735afdf73cSHaoyuan Feng  flush(0) := DelayN(io.sfence.valid || io.csr.tlb.satp.changed, itlbParams.fenceDelay)
7745afdf73cSHaoyuan Feng  flush(1) := DelayN(io.sfence.valid || io.csr.tlb.satp.changed, ldtlbParams.fenceDelay)
77592e3bfefSLemover  for (i <- 0 until PtwWidth) {
77692e3bfefSLemover    val helper = Module(new PTEHelper())
77792e3bfefSLemover    helper.clock := clock
77892e3bfefSLemover    helper.satp := io.csr.tlb.satp.ppn
7795afdf73cSHaoyuan Feng
7805afdf73cSHaoyuan Feng    if (coreParams.softPTWDelay == 1) {
7815afdf73cSHaoyuan Feng      helper.enable := io.tlb(i).req(0).fire
78292e3bfefSLemover      helper.vpn := io.tlb(i).req(0).bits.vpn
7835afdf73cSHaoyuan Feng    } else {
7845afdf73cSHaoyuan Feng      helper.enable := PTWDelayN(io.tlb(i).req(0).fire, coreParams.softPTWDelay - 1, flush(i))
7855afdf73cSHaoyuan Feng      helper.vpn := PTWDelayN(io.tlb(i).req(0).bits.vpn, coreParams.softPTWDelay - 1, flush(i))
7865afdf73cSHaoyuan Feng    }
7875afdf73cSHaoyuan Feng
78892e3bfefSLemover    val pte = helper.pte.asTypeOf(new PteBundle)
78992e3bfefSLemover    val level = helper.level
79092e3bfefSLemover    val pf = helper.pf
7915afdf73cSHaoyuan Feng    val empty = RegInit(true.B)
7925afdf73cSHaoyuan Feng    when (io.tlb(i).req(0).fire) {
7935afdf73cSHaoyuan Feng      empty := false.B
7945afdf73cSHaoyuan Feng    } .elsewhen (io.tlb(i).resp.fire || flush(i)) {
7955afdf73cSHaoyuan Feng      empty := true.B
7965afdf73cSHaoyuan Feng    }
79792e3bfefSLemover
7985afdf73cSHaoyuan Feng    io.tlb(i).req(0).ready := empty || io.tlb(i).resp.fire
7995afdf73cSHaoyuan Feng    io.tlb(i).resp.valid := PTWDelayN(io.tlb(i).req(0).fire, coreParams.softPTWDelay, flush(i))
80092e3bfefSLemover    assert(!io.tlb(i).resp.valid || io.tlb(i).resp.ready)
801d61cd5eeSpeixiaokun    io.tlb(i).resp.bits.s1.entry.tag := PTWDelayN(io.tlb(i).req(0).bits.vpn, coreParams.softPTWDelay, flush(i))
802002c10a4SYanqin Li    io.tlb(i).resp.bits.s1.entry.pbmt := pte.pbmt
803d61cd5eeSpeixiaokun    io.tlb(i).resp.bits.s1.entry.ppn := pte.ppn
804d61cd5eeSpeixiaokun    io.tlb(i).resp.bits.s1.entry.perm.map(_ := pte.getPerm())
805d61cd5eeSpeixiaokun    io.tlb(i).resp.bits.s1.entry.level.map(_ := level)
806d61cd5eeSpeixiaokun    io.tlb(i).resp.bits.s1.pf := pf
807d61cd5eeSpeixiaokun    io.tlb(i).resp.bits.s1.af := DontCare // TODO: implement it
808d61cd5eeSpeixiaokun    io.tlb(i).resp.bits.s1.entry.v := !pf
809d61cd5eeSpeixiaokun    io.tlb(i).resp.bits.s1.entry.prefetch := DontCare
810d61cd5eeSpeixiaokun    io.tlb(i).resp.bits.s1.entry.asid := io.csr.tlb.satp.asid
81192e3bfefSLemover  }
81292e3bfefSLemover}
81392e3bfefSLemover
81492e3bfefSLemoverclass L2TLBWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter {
81595e60e55STang Haojin  override def shouldBeInlined: Boolean = false
81692e3bfefSLemover  val useSoftPTW = coreParams.softPTW
81792e3bfefSLemover  val node = if (!useSoftPTW) TLIdentityNode() else null
81892e3bfefSLemover  val ptw = if (!useSoftPTW) LazyModule(new L2TLB()) else null
81992e3bfefSLemover  if (!useSoftPTW) {
82092e3bfefSLemover    node := ptw.node
82192e3bfefSLemover  }
82292e3bfefSLemover
823935edac4STang Haojin  class L2TLBWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents {
82492e3bfefSLemover    val io = IO(new L2TLBIO)
82592e3bfefSLemover    val perfEvents = if (useSoftPTW) {
82692e3bfefSLemover      val fake_ptw = Module(new FakePTW())
82792e3bfefSLemover      io <> fake_ptw.io
82892e3bfefSLemover      Seq()
82992e3bfefSLemover    }
83092e3bfefSLemover    else {
83192e3bfefSLemover        io <> ptw.module.io
83292e3bfefSLemover        ptw.module.getPerfEvents
83392e3bfefSLemover    }
83492e3bfefSLemover    generatePerfEvent()
83592e3bfefSLemover  }
836935edac4STang Haojin
837935edac4STang Haojin  lazy val module = new L2TLBWrapperImp(this)
83892e3bfefSLemover}
839