192e3bfefSLemover/*************************************************************************************** 292e3bfefSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 392e3bfefSLemover* Copyright (c) 2020-2021 Peng Cheng Laboratory 492e3bfefSLemover* 592e3bfefSLemover* XiangShan is licensed under Mulan PSL v2. 692e3bfefSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 792e3bfefSLemover* You may obtain a copy of Mulan PSL v2 at: 892e3bfefSLemover* http://license.coscl.org.cn/MulanPSL2 992e3bfefSLemover* 1092e3bfefSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 1192e3bfefSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 1292e3bfefSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 1392e3bfefSLemover* 1492e3bfefSLemover* See the Mulan PSL v2 for more details. 1592e3bfefSLemover***************************************************************************************/ 1692e3bfefSLemover 1792e3bfefSLemoverpackage xiangshan.cache.mmu 1892e3bfefSLemover 1992e3bfefSLemoverimport chipsalliance.rocketchip.config.Parameters 2092e3bfefSLemoverimport chisel3._ 2192e3bfefSLemoverimport chisel3.experimental.ExtModule 2292e3bfefSLemoverimport chisel3.util._ 2392e3bfefSLemoverimport chisel3.internal.naming.chiselName 2492e3bfefSLemoverimport xiangshan._ 2592e3bfefSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 2692e3bfefSLemoverimport utils._ 2792e3bfefSLemoverimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp} 2892e3bfefSLemoverimport freechips.rocketchip.tilelink._ 2992e3bfefSLemoverimport xiangshan.backend.fu.{PMP, PMPChecker, PMPReqBundle, PMPRespBundle} 3092e3bfefSLemoverimport xiangshan.backend.fu.util.HasCSRConst 3192e3bfefSLemover 3292e3bfefSLemoverclass L2TLB()(implicit p: Parameters) extends LazyModule with HasPtwConst { 3392e3bfefSLemover 3492e3bfefSLemover val node = TLClientNode(Seq(TLMasterPortParameters.v1( 3592e3bfefSLemover clients = Seq(TLMasterParameters.v1( 3692e3bfefSLemover "ptw", 3792e3bfefSLemover sourceId = IdRange(0, MemReqWidth) 3892e3bfefSLemover )) 3992e3bfefSLemover ))) 4092e3bfefSLemover 4192e3bfefSLemover lazy val module = new L2TLBImp(this) 4292e3bfefSLemover} 4392e3bfefSLemover 4492e3bfefSLemover@chiselName 4592e3bfefSLemoverclass L2TLBImp(outer: L2TLB)(implicit p: Parameters) extends PtwModule(outer) with HasCSRConst with HasPerfEvents { 4692e3bfefSLemover 4792e3bfefSLemover val (mem, edge) = outer.node.out.head 4892e3bfefSLemover 4992e3bfefSLemover val io = IO(new L2TLBIO) 5092e3bfefSLemover val difftestIO = IO(new Bundle() { 5192e3bfefSLemover val ptwResp = Output(Bool()) 5292e3bfefSLemover val ptwAddr = Output(UInt(64.W)) 5392e3bfefSLemover val ptwData = Output(Vec(4, UInt(64.W))) 5492e3bfefSLemover }) 5592e3bfefSLemover 5692e3bfefSLemover /* Ptw processes multiple requests 5792e3bfefSLemover * Divide Ptw procedure into two stages: cache access ; mem access if cache miss 5892e3bfefSLemover * miss queue itlb dtlb 5992e3bfefSLemover * | | | 6092e3bfefSLemover * ------arbiter------ 6192e3bfefSLemover * | 6292e3bfefSLemover * l1 - l2 - l3 - sp 6392e3bfefSLemover * | 6492e3bfefSLemover * ------------------------------------------- 6592e3bfefSLemover * miss | queue | hit 6692e3bfefSLemover * [][][][][][] | 6792e3bfefSLemover * | | 6892e3bfefSLemover * state machine accessing mem | 6992e3bfefSLemover * | | 7092e3bfefSLemover * ---------------arbiter--------------------- 7192e3bfefSLemover * | | 7292e3bfefSLemover * itlb dtlb 7392e3bfefSLemover */ 7492e3bfefSLemover 7592e3bfefSLemover difftestIO <> DontCare 7692e3bfefSLemover 7792e3bfefSLemover val sfence = DelayN(io.sfence, 2) 7892e3bfefSLemover val csr = DelayN(io.csr.tlb, 2) 7992e3bfefSLemover val satp = csr.satp 8092e3bfefSLemover val priv = csr.priv 8192e3bfefSLemover val flush = sfence.valid || csr.satp.changed 8292e3bfefSLemover 8392e3bfefSLemover val pmp = Module(new PMP()) 8492e3bfefSLemover val pmp_check = VecInit(Seq.fill(2)(Module(new PMPChecker(lgMaxSize = 3, sameCycle = true)).io)) 8592e3bfefSLemover pmp.io.distribute_csr := io.csr.distribute_csr 8692e3bfefSLemover pmp_check.foreach(_.check_env.apply(ModeS, pmp.io.pmp, pmp.io.pma)) 8792e3bfefSLemover 8892e3bfefSLemover val missQueue = Module(new L2TlbMissQueue) 8992e3bfefSLemover val cache = Module(new PtwCache) 9092e3bfefSLemover val ptw = Module(new PTW) 9192e3bfefSLemover val llptw = Module(new LLPTW) 9292e3bfefSLemover val arb1 = Module(new Arbiter(new PtwReq, PtwWidth)) 9392e3bfefSLemover val arb2 = Module(new Arbiter(new Bundle { 9492e3bfefSLemover val vpn = UInt(vpnLen.W) 9592e3bfefSLemover val source = UInt(bSourceWidth.W) 9692e3bfefSLemover }, if (l2tlbParams.enablePrefetch) 3 else 2)) 9792e3bfefSLemover val outArb = (0 until PtwWidth).map(i => Module(new Arbiter(new PtwResp, 3)).io) 9892e3bfefSLemover val outArbCachePort = 0 9992e3bfefSLemover val outArbFsmPort = 1 10092e3bfefSLemover val outArbMqPort = 2 10192e3bfefSLemover 10292e3bfefSLemover // NOTE: when cache out but miss and ptw doesnt accept, 10392e3bfefSLemover arb1.io.in <> VecInit(io.tlb.map(_.req(0))) 10492e3bfefSLemover arb1.io.out.ready := arb2.io.in(1).ready 10592e3bfefSLemover 10692e3bfefSLemover val InArbMissQueuePort = 0 10792e3bfefSLemover val InArbTlbPort = 1 10892e3bfefSLemover val InArbPrefetchPort = 2 10992e3bfefSLemover block_decoupled(missQueue.io.out, arb2.io.in(InArbMissQueuePort), !ptw.io.req.ready) 11092e3bfefSLemover arb2.io.in(InArbTlbPort).valid := arb1.io.out.valid 11192e3bfefSLemover arb2.io.in(InArbTlbPort).bits.vpn := arb1.io.out.bits.vpn 11292e3bfefSLemover arb2.io.in(InArbTlbPort).bits.source := arb1.io.chosen 11392e3bfefSLemover if (l2tlbParams.enablePrefetch) { 11492e3bfefSLemover val prefetch = Module(new L2TlbPrefetch()) 11592e3bfefSLemover val recv = cache.io.resp 11692e3bfefSLemover // NOTE: 1. prefetch doesn't gen prefetch 2. req from mq doesn't gen prefetch 11792e3bfefSLemover // NOTE: 1. miss req gen prefetch 2. hit but prefetched gen prefetch 11892e3bfefSLemover prefetch.io.in.valid := recv.fire() && !from_pre(recv.bits.req_info.source) && (!recv.bits.hit || 11992e3bfefSLemover recv.bits.prefetch) && recv.bits.isFirst 12092e3bfefSLemover prefetch.io.in.bits.vpn := recv.bits.req_info.vpn 12192e3bfefSLemover prefetch.io.sfence := sfence 12292e3bfefSLemover prefetch.io.csr := csr 12392e3bfefSLemover arb2.io.in(InArbPrefetchPort) <> prefetch.io.out 12492e3bfefSLemover } 12592e3bfefSLemover arb2.io.out.ready := cache.io.req.ready 12692e3bfefSLemover 12792e3bfefSLemover val LLPTWARB_CACHE=0 12892e3bfefSLemover val LLPTWARB_PTW=1 12992e3bfefSLemover val llptw_arb = Module(new Arbiter(new LLPTWInBundle, 2)) 130*1f4a7c0cSLemover llptw_arb.io.in(LLPTWARB_CACHE).valid := cache.io.resp.valid && !cache.io.resp.bits.hit && cache.io.resp.bits.toFsm.l2Hit && !cache.io.resp.bits.bypassed 13192e3bfefSLemover llptw_arb.io.in(LLPTWARB_CACHE).bits.req_info := cache.io.resp.bits.req_info 13292e3bfefSLemover llptw_arb.io.in(LLPTWARB_CACHE).bits.ppn := cache.io.resp.bits.toFsm.ppn 13392e3bfefSLemover llptw_arb.io.in(LLPTWARB_PTW) <> ptw.io.llptw 13492e3bfefSLemover llptw.io.in <> llptw_arb.io.out 13592e3bfefSLemover llptw.io.sfence := sfence 13692e3bfefSLemover llptw.io.csr := csr 13792e3bfefSLemover 13892e3bfefSLemover cache.io.req.valid := arb2.io.out.valid 13992e3bfefSLemover cache.io.req.bits.req_info.vpn := arb2.io.out.bits.vpn 14092e3bfefSLemover cache.io.req.bits.req_info.source := arb2.io.out.bits.source 14192e3bfefSLemover cache.io.req.bits.isFirst := arb2.io.chosen =/= InArbMissQueuePort.U 142*1f4a7c0cSLemover cache.io.req.bits.bypassed.map(_ := false.B) 14392e3bfefSLemover cache.io.sfence := sfence 14492e3bfefSLemover cache.io.csr := csr 14592e3bfefSLemover cache.io.resp.ready := Mux(cache.io.resp.bits.hit, 14692e3bfefSLemover outReady(cache.io.resp.bits.req_info.source, outArbCachePort), 147*1f4a7c0cSLemover Mux(cache.io.resp.bits.toFsm.l2Hit && !cache.io.resp.bits.bypassed, llptw_arb.io.in(LLPTWARB_CACHE).ready, 148*1f4a7c0cSLemover Mux(cache.io.resp.bits.bypassed, missQueue.io.in.ready, missQueue.io.in.ready || ptw.io.req.ready))) 14992e3bfefSLemover 15092e3bfefSLemover missQueue.io.in.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && 151*1f4a7c0cSLemover (!cache.io.resp.bits.toFsm.l2Hit || cache.io.resp.bits.bypassed) && 152*1f4a7c0cSLemover !from_pre(cache.io.resp.bits.req_info.source) && 153*1f4a7c0cSLemover (cache.io.resp.bits.bypassed || !ptw.io.req.ready) 15492e3bfefSLemover missQueue.io.in.bits := cache.io.resp.bits.req_info 15592e3bfefSLemover missQueue.io.sfence := sfence 15692e3bfefSLemover missQueue.io.csr := csr 15792e3bfefSLemover 15892e3bfefSLemover // NOTE: missQueue req has higher priority 159*1f4a7c0cSLemover ptw.io.req.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && !cache.io.resp.bits.toFsm.l2Hit && !cache.io.resp.bits.bypassed 16092e3bfefSLemover ptw.io.req.bits.req_info := cache.io.resp.bits.req_info 16192e3bfefSLemover ptw.io.req.bits.l1Hit := cache.io.resp.bits.toFsm.l1Hit 16292e3bfefSLemover ptw.io.req.bits.ppn := cache.io.resp.bits.toFsm.ppn 16392e3bfefSLemover ptw.io.csr := csr 16492e3bfefSLemover ptw.io.sfence := sfence 16592e3bfefSLemover ptw.io.resp.ready := outReady(ptw.io.resp.bits.source, outArbFsmPort) 16692e3bfefSLemover 16792e3bfefSLemover 16892e3bfefSLemover // mem req 16992e3bfefSLemover def blockBytes_align(addr: UInt) = { 17092e3bfefSLemover Cat(addr(PAddrBits - 1, log2Up(l2tlbParams.blockBytes)), 0.U(log2Up(l2tlbParams.blockBytes).W)) 17192e3bfefSLemover } 17292e3bfefSLemover def addr_low_from_vpn(vpn: UInt) = { 17392e3bfefSLemover vpn(log2Ceil(l2tlbParams.blockBytes)-log2Ceil(XLEN/8)-1, 0) 17492e3bfefSLemover } 17592e3bfefSLemover def addr_low_from_paddr(paddr: UInt) = { 17692e3bfefSLemover paddr(log2Up(l2tlbParams.blockBytes)-1, log2Up(XLEN/8)) 17792e3bfefSLemover } 17892e3bfefSLemover def from_missqueue(id: UInt) = { 17992e3bfefSLemover (id =/= l2tlbParams.llptwsize.U) 18092e3bfefSLemover } 18192e3bfefSLemover val waiting_resp = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B))) 18292e3bfefSLemover val flush_latch = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B))) 18392e3bfefSLemover for (i <- waiting_resp.indices) { 18492e3bfefSLemover assert(!flush_latch(i) || waiting_resp(i)) // when sfence_latch wait for mem resp, waiting_resp should be true 18592e3bfefSLemover } 18692e3bfefSLemover 18792e3bfefSLemover val llptw_out = llptw.io.out 18892e3bfefSLemover val llptw_mem = llptw.io.mem 18992e3bfefSLemover llptw_mem.req_mask := waiting_resp.take(l2tlbParams.llptwsize) 19092e3bfefSLemover ptw.io.mem.mask := waiting_resp.last 19192e3bfefSLemover 19292e3bfefSLemover val mem_arb = Module(new Arbiter(new L2TlbMemReqBundle(), 2)) 19392e3bfefSLemover mem_arb.io.in(0) <> ptw.io.mem.req 19492e3bfefSLemover mem_arb.io.in(1) <> llptw_mem.req 19592e3bfefSLemover mem_arb.io.out.ready := mem.a.ready && !flush 19692e3bfefSLemover 197*1f4a7c0cSLemover // assert, should not send mem access at same addr for twice. 198*1f4a7c0cSLemover val last_resp_vpn = RegEnable(cache.io.refill.bits.req_info.vpn, cache.io.refill.valid) 199*1f4a7c0cSLemover val last_resp_level = RegEnable(cache.io.refill.bits.level, cache.io.refill.valid) 200*1f4a7c0cSLemover val last_resp_v = RegInit(false.B) 201*1f4a7c0cSLemover val last_has_invalid = !Cat(cache.io.refill.bits.ptes.asTypeOf(Vec(blockBits/XLEN, UInt(XLEN.W))).map(a => a(0))).andR 202*1f4a7c0cSLemover when (cache.io.refill.valid) { last_resp_v := !last_has_invalid} 203*1f4a7c0cSLemover when (flush) { last_resp_v := false.B } 204*1f4a7c0cSLemover XSError(last_resp_v && cache.io.refill.valid && 205*1f4a7c0cSLemover (cache.io.refill.bits.req_info.vpn === last_resp_vpn) && 206*1f4a7c0cSLemover (cache.io.refill.bits.level === last_resp_level), 207*1f4a7c0cSLemover "l2tlb should not access mem at same addr for twice") 208*1f4a7c0cSLemover // ATTENTION: this may wronngly assert when: a ptes is l2, last part is valid, 209*1f4a7c0cSLemover // but the current part is invalid, so one more mem access happened 210*1f4a7c0cSLemover // If this happened, remove the assert. 211*1f4a7c0cSLemover 21292e3bfefSLemover val req_addr_low = Reg(Vec(MemReqWidth, UInt((log2Up(l2tlbParams.blockBytes)-log2Up(XLEN/8)).W))) 21392e3bfefSLemover 21492e3bfefSLemover when (llptw.io.in.fire()) { 21592e3bfefSLemover // when enq miss queue, set the req_addr_low to receive the mem resp data part 21692e3bfefSLemover req_addr_low(llptw_mem.enq_ptr) := addr_low_from_vpn(llptw.io.in.bits.req_info.vpn) 21792e3bfefSLemover } 21892e3bfefSLemover when (mem_arb.io.out.fire()) { 21992e3bfefSLemover req_addr_low(mem_arb.io.out.bits.id) := addr_low_from_paddr(mem_arb.io.out.bits.addr) 22092e3bfefSLemover waiting_resp(mem_arb.io.out.bits.id) := true.B 22192e3bfefSLemover } 22292e3bfefSLemover // mem read 22392e3bfefSLemover val memRead = edge.Get( 22492e3bfefSLemover fromSource = mem_arb.io.out.bits.id, 22592e3bfefSLemover // toAddress = memAddr(log2Up(CacheLineSize / 2 / 8) - 1, 0), 22692e3bfefSLemover toAddress = blockBytes_align(mem_arb.io.out.bits.addr), 22792e3bfefSLemover lgSize = log2Up(l2tlbParams.blockBytes).U 22892e3bfefSLemover )._2 22992e3bfefSLemover mem.a.bits := memRead 23092e3bfefSLemover mem.a.valid := mem_arb.io.out.valid && !flush 23192e3bfefSLemover mem.d.ready := true.B 23292e3bfefSLemover // mem -> data buffer 23392e3bfefSLemover val refill_data = Reg(Vec(blockBits / l1BusDataWidth, UInt(l1BusDataWidth.W))) 23492e3bfefSLemover val refill_helper = edge.firstlastHelper(mem.d.bits, mem.d.fire()) 23592e3bfefSLemover val mem_resp_done = refill_helper._3 23692e3bfefSLemover val mem_resp_from_mq = from_missqueue(mem.d.bits.source) 23792e3bfefSLemover when (mem.d.valid) { 23892e3bfefSLemover assert(mem.d.bits.source <= l2tlbParams.llptwsize.U) 23992e3bfefSLemover refill_data(refill_helper._4) := mem.d.bits.data 24092e3bfefSLemover } 24192e3bfefSLemover // save only one pte for each id 24292e3bfefSLemover // (miss queue may can't resp to tlb with low latency, it should have highest priority, but diffcult to design cache) 24392e3bfefSLemover val resp_pte = VecInit((0 until MemReqWidth).map(i => 24492e3bfefSLemover if (i == l2tlbParams.llptwsize) {DataHoldBypass(get_part(refill_data, req_addr_low(i)), RegNext(mem_resp_done && !mem_resp_from_mq)) } 24592e3bfefSLemover else { DataHoldBypass(get_part(refill_data, req_addr_low(i)), llptw_mem.buffer_it(i)) } 24692e3bfefSLemover )) 24792e3bfefSLemover 24892e3bfefSLemover // mem -> miss queue 24992e3bfefSLemover llptw_mem.resp.valid := mem_resp_done && mem_resp_from_mq 25092e3bfefSLemover llptw_mem.resp.bits.id := mem.d.bits.source 25192e3bfefSLemover // mem -> ptw 25292e3bfefSLemover ptw.io.mem.req.ready := mem.a.ready 25392e3bfefSLemover ptw.io.mem.resp.valid := mem_resp_done && !mem_resp_from_mq 25492e3bfefSLemover ptw.io.mem.resp.bits := resp_pte.last 25592e3bfefSLemover // mem -> cache 25692e3bfefSLemover val refill_from_mq = RegNext(mem_resp_from_mq) 25792e3bfefSLemover cache.io.refill.valid := RegNext(mem_resp_done && !flush && !flush_latch(mem.d.bits.source)) 25892e3bfefSLemover cache.io.refill.bits.ptes := refill_data.asUInt 25992e3bfefSLemover cache.io.refill.bits.req_info := Mux(refill_from_mq, llptw_mem.refill, ptw.io.refill.req_info) 260005e809bSJiuyang Liu cache.io.refill.bits.level := Mux(refill_from_mq, 2.U, RegEnable(ptw.io.refill.level, 0.U, ptw.io.mem.req.fire())) 26192e3bfefSLemover cache.io.refill.bits.addr_low := RegNext(req_addr_low(mem.d.bits.source)) 26292e3bfefSLemover 26392e3bfefSLemover // pmp 26492e3bfefSLemover pmp_check(0).req <> ptw.io.pmp.req 26592e3bfefSLemover ptw.io.pmp.resp <> pmp_check(0).resp 26692e3bfefSLemover pmp_check(1).req <> llptw.io.pmp.req 26792e3bfefSLemover llptw.io.pmp.resp <> pmp_check(1).resp 26892e3bfefSLemover 26992e3bfefSLemover llptw_out.ready := outReady(llptw_out.bits.req_info.source, outArbMqPort) 27092e3bfefSLemover for (i <- 0 until PtwWidth) { 27192e3bfefSLemover outArb(i).in(outArbCachePort).valid := cache.io.resp.valid && cache.io.resp.bits.hit && cache.io.resp.bits.req_info.source===i.U 27292e3bfefSLemover outArb(i).in(outArbCachePort).bits.entry := cache.io.resp.bits.toTlb 27392e3bfefSLemover outArb(i).in(outArbCachePort).bits.pf := !cache.io.resp.bits.toTlb.v 27492e3bfefSLemover outArb(i).in(outArbCachePort).bits.af := false.B 27592e3bfefSLemover outArb(i).in(outArbFsmPort).valid := ptw.io.resp.valid && ptw.io.resp.bits.source===i.U 27692e3bfefSLemover outArb(i).in(outArbFsmPort).bits := ptw.io.resp.bits.resp 27792e3bfefSLemover outArb(i).in(outArbMqPort).valid := llptw_out.valid && llptw_out.bits.req_info.source===i.U 27892e3bfefSLemover outArb(i).in(outArbMqPort).bits := pte_to_ptwResp(resp_pte(llptw_out.bits.id), llptw_out.bits.req_info.vpn, llptw_out.bits.af, true) 27992e3bfefSLemover } 28092e3bfefSLemover 28192e3bfefSLemover // io.tlb.map(_.resp) <> outArb.map(_.out) 28292e3bfefSLemover io.tlb.map(_.resp).zip(outArb.map(_.out)).map{ 28392e3bfefSLemover case (resp, out) => resp <> out 28492e3bfefSLemover } 28592e3bfefSLemover 28692e3bfefSLemover // sfence 28792e3bfefSLemover when (flush) { 28892e3bfefSLemover for (i <- 0 until MemReqWidth) { 28992e3bfefSLemover when (waiting_resp(i)) { 29092e3bfefSLemover flush_latch(i) := true.B 29192e3bfefSLemover } 29292e3bfefSLemover } 29392e3bfefSLemover } 29492e3bfefSLemover // mem -> control signal 29592e3bfefSLemover // waiting_resp and sfence_latch will be reset when mem_resp_done 29692e3bfefSLemover when (mem_resp_done) { 29792e3bfefSLemover waiting_resp(mem.d.bits.source) := false.B 29892e3bfefSLemover flush_latch(mem.d.bits.source) := false.B 29992e3bfefSLemover } 30092e3bfefSLemover 30192e3bfefSLemover def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 30292e3bfefSLemover sink.valid := source.valid && !block_signal 30392e3bfefSLemover source.ready := sink.ready && !block_signal 30492e3bfefSLemover sink.bits := source.bits 30592e3bfefSLemover } 30692e3bfefSLemover 30792e3bfefSLemover def get_part(data: Vec[UInt], index: UInt): UInt = { 30892e3bfefSLemover val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W))) 30992e3bfefSLemover inner_data(index) 31092e3bfefSLemover } 31192e3bfefSLemover 31292e3bfefSLemover def pte_to_ptwResp(pte: UInt, vpn: UInt, af: Bool, af_first: Boolean) : PtwResp = { 31392e3bfefSLemover val pte_in = pte.asTypeOf(new PteBundle()) 31492e3bfefSLemover val ptw_resp = Wire(new PtwResp()) 31592e3bfefSLemover ptw_resp.entry.ppn := pte_in.ppn 31692e3bfefSLemover ptw_resp.entry.level.map(_ := 2.U) 31792e3bfefSLemover ptw_resp.entry.perm.map(_ := pte_in.getPerm()) 31892e3bfefSLemover ptw_resp.entry.tag := vpn 31992e3bfefSLemover ptw_resp.pf := (if (af_first) !af else true.B) && pte_in.isPf(2.U) 32092e3bfefSLemover ptw_resp.af := (if (!af_first) pte_in.isPf(2.U) else true.B) && af 32192e3bfefSLemover ptw_resp.entry.v := !ptw_resp.pf 32292e3bfefSLemover ptw_resp.entry.prefetch := DontCare 32392e3bfefSLemover ptw_resp.entry.asid := satp.asid 32492e3bfefSLemover ptw_resp 32592e3bfefSLemover } 32692e3bfefSLemover 32792e3bfefSLemover def outReady(source: UInt, port: Int): Bool = { 32892e3bfefSLemover MuxLookup(source, true.B, 32992e3bfefSLemover (0 until PtwWidth).map(i => i.U -> outArb(i).in(port).ready)) 33092e3bfefSLemover } 33192e3bfefSLemover 33292e3bfefSLemover // debug info 33392e3bfefSLemover for (i <- 0 until PtwWidth) { 33492e3bfefSLemover XSDebug(p"[io.tlb(${i.U})] ${io.tlb(i)}\n") 33592e3bfefSLemover } 33692e3bfefSLemover XSDebug(p"[sfence] ${sfence}\n") 33792e3bfefSLemover XSDebug(p"[io.csr.tlb] ${io.csr.tlb}\n") 33892e3bfefSLemover 33992e3bfefSLemover for (i <- 0 until PtwWidth) { 34092e3bfefSLemover XSPerfAccumulate(s"req_count${i}", io.tlb(i).req(0).fire()) 34192e3bfefSLemover XSPerfAccumulate(s"req_blocked_count_${i}", io.tlb(i).req(0).valid && !io.tlb(i).req(0).ready) 34292e3bfefSLemover } 34392e3bfefSLemover XSPerfAccumulate(s"req_blocked_by_mq", arb1.io.out.valid && missQueue.io.out.valid) 34492e3bfefSLemover for (i <- 0 until (MemReqWidth + 1)) { 34592e3bfefSLemover XSPerfAccumulate(s"mem_req_util${i}", PopCount(waiting_resp) === i.U) 34692e3bfefSLemover } 34792e3bfefSLemover XSPerfAccumulate("mem_cycle", PopCount(waiting_resp) =/= 0.U) 34892e3bfefSLemover XSPerfAccumulate("mem_count", mem.a.fire()) 34992e3bfefSLemover 35092e3bfefSLemover // print configs 351f1fe8698SLemover println(s"${l2tlbParams.name}: a ptw, a llptw with size ${l2tlbParams.llptwsize}, miss queue size ${MissQueueSize} l1:${l2tlbParams.l1Size} fa l2: nSets ${l2tlbParams.l2nSets} nWays ${l2tlbParams.l2nWays} l3: ${l2tlbParams.l3nSets} nWays ${l2tlbParams.l3nWays} blockBytes:${l2tlbParams.blockBytes}") 35292e3bfefSLemover 35392e3bfefSLemover // time out assert 35492e3bfefSLemover for (i <- 0 until MemReqWidth) { 35592e3bfefSLemover TimeOutAssert(waiting_resp(i), timeOutThreshold, s"ptw mem resp time out wait_resp${i}") 35692e3bfefSLemover TimeOutAssert(flush_latch(i), timeOutThreshold, s"ptw mem resp time out flush_latch${i}") 35792e3bfefSLemover } 35892e3bfefSLemover 35992e3bfefSLemover 36092e3bfefSLemover val perfEvents = Seq(llptw, cache, ptw).flatMap(_.getPerfEvents) 36192e3bfefSLemover generatePerfEvent() 36292e3bfefSLemover} 36392e3bfefSLemover 36492e3bfefSLemoverclass PTEHelper() extends ExtModule { 36592e3bfefSLemover val clock = IO(Input(Clock())) 36692e3bfefSLemover val enable = IO(Input(Bool())) 36792e3bfefSLemover val satp = IO(Input(UInt(64.W))) 36892e3bfefSLemover val vpn = IO(Input(UInt(64.W))) 36992e3bfefSLemover val pte = IO(Output(UInt(64.W))) 37092e3bfefSLemover val level = IO(Output(UInt(8.W))) 37192e3bfefSLemover val pf = IO(Output(UInt(8.W))) 37292e3bfefSLemover} 37392e3bfefSLemover 37492e3bfefSLemoverclass FakePTW()(implicit p: Parameters) extends XSModule with HasPtwConst { 37592e3bfefSLemover val io = IO(new L2TLBIO) 37692e3bfefSLemover 37792e3bfefSLemover for (i <- 0 until PtwWidth) { 37892e3bfefSLemover io.tlb(i).req(0).ready := true.B 37992e3bfefSLemover 38092e3bfefSLemover val helper = Module(new PTEHelper()) 38192e3bfefSLemover helper.clock := clock 38292e3bfefSLemover helper.enable := io.tlb(i).req(0).valid 38392e3bfefSLemover helper.satp := io.csr.tlb.satp.ppn 38492e3bfefSLemover helper.vpn := io.tlb(i).req(0).bits.vpn 38592e3bfefSLemover val pte = helper.pte.asTypeOf(new PteBundle) 38692e3bfefSLemover val level = helper.level 38792e3bfefSLemover val pf = helper.pf 38892e3bfefSLemover 38992e3bfefSLemover io.tlb(i).resp.valid := RegNext(io.tlb(i).req(0).valid) 39092e3bfefSLemover assert(!io.tlb(i).resp.valid || io.tlb(i).resp.ready) 39192e3bfefSLemover io.tlb(i).resp.bits.entry.tag := RegNext(io.tlb(i).req(0).bits.vpn) 39292e3bfefSLemover io.tlb(i).resp.bits.entry.ppn := pte.ppn 39392e3bfefSLemover io.tlb(i).resp.bits.entry.perm.map(_ := pte.getPerm()) 39492e3bfefSLemover io.tlb(i).resp.bits.entry.level.map(_ := level) 39592e3bfefSLemover io.tlb(i).resp.bits.pf := pf 39692e3bfefSLemover io.tlb(i).resp.bits.af := DontCare // TODO: implement it 39792e3bfefSLemover } 39892e3bfefSLemover} 39992e3bfefSLemover 40092e3bfefSLemoverclass L2TLBWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 40192e3bfefSLemover val useSoftPTW = coreParams.softPTW 40292e3bfefSLemover val node = if (!useSoftPTW) TLIdentityNode() else null 40392e3bfefSLemover val ptw = if (!useSoftPTW) LazyModule(new L2TLB()) else null 40492e3bfefSLemover if (!useSoftPTW) { 40592e3bfefSLemover node := ptw.node 40692e3bfefSLemover } 40792e3bfefSLemover 40892e3bfefSLemover lazy val module = new LazyModuleImp(this) with HasPerfEvents { 40992e3bfefSLemover val io = IO(new L2TLBIO) 41092e3bfefSLemover val perfEvents = if (useSoftPTW) { 41192e3bfefSLemover val fake_ptw = Module(new FakePTW()) 41292e3bfefSLemover io <> fake_ptw.io 41392e3bfefSLemover Seq() 41492e3bfefSLemover } 41592e3bfefSLemover else { 41692e3bfefSLemover io <> ptw.module.io 41792e3bfefSLemover ptw.module.getPerfEvents 41892e3bfefSLemover } 41992e3bfefSLemover generatePerfEvent() 42092e3bfefSLemover } 42192e3bfefSLemover} 422