xref: /XiangShan/src/main/scala/xiangshan/cache/dcache/mainpipe/MainPipe.scala (revision dc4fac130426dbec49b49d778b9105d79b4a8eab)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import freechips.rocketchip.tilelink.ClientStates._
23import freechips.rocketchip.tilelink.MemoryOpCategories._
24import freechips.rocketchip.tilelink.TLPermissions._
25import freechips.rocketchip.tilelink.{ClientMetadata, ClientStates, TLPermissions}
26import utils._
27import utility._
28import xiangshan.{L1CacheErrorInfo, XSCoreParamsKey}
29import xiangshan.mem.prefetch._
30import xiangshan.mem.HasL1PrefetchSourceParameter
31
32class MainPipeReq(implicit p: Parameters) extends DCacheBundle {
33  val miss = Bool() // only amo miss will refill in main pipe
34  val miss_id = UInt(log2Up(cfg.nMissEntries).W)
35  val miss_param = UInt(TLPermissions.bdWidth.W)
36  val miss_dirty = Bool()
37
38  val probe = Bool()
39  val probe_param = UInt(TLPermissions.bdWidth.W)
40  val probe_need_data = Bool()
41
42  // request info
43  // reqs from Store, AMO use this
44  // probe does not use this
45  val source = UInt(sourceTypeWidth.W)
46  val cmd = UInt(M_SZ.W)
47  // if dcache size > 32KB, vaddr is also needed for store
48  // vaddr is used to get extra index bits
49  val vaddr  = UInt(VAddrBits.W)
50  // must be aligned to block
51  val addr   = UInt(PAddrBits.W)
52
53  // store
54  val store_data = UInt((cfg.blockBytes * 8).W)
55  val store_mask = UInt(cfg.blockBytes.W)
56
57  // which word does amo work on?
58  val word_idx = UInt(log2Up(cfg.blockBytes * 8 / DataBits).W)
59  val amo_data   = UInt(DataBits.W)
60  val amo_mask   = UInt((DataBits / 8).W)
61
62  // error
63  val error = Bool()
64
65  // replace
66  val replace = Bool()
67  val replace_way_en = UInt(DCacheWays.W)
68
69  // prefetch
70  val pf_source = UInt(L1PfSourceBits.W)
71  val access = Bool()
72
73  val id = UInt(reqIdWidth.W)
74
75  def isLoad: Bool = source === LOAD_SOURCE.U
76  def isStore: Bool = source === STORE_SOURCE.U
77  def isAMO: Bool = source === AMO_SOURCE.U
78
79  def convertStoreReq(store: DCacheLineReq): MainPipeReq = {
80    val req = Wire(new MainPipeReq)
81    req := DontCare
82    req.miss := false.B
83    req.miss_dirty := false.B
84    req.probe := false.B
85    req.probe_need_data := false.B
86    req.source := STORE_SOURCE.U
87    req.cmd := store.cmd
88    req.addr := store.addr
89    req.vaddr := store.vaddr
90    req.store_data := store.data
91    req.store_mask := store.mask
92    req.replace := false.B
93    req.error := false.B
94    req.id := store.id
95    req
96  }
97}
98
99class MainPipeStatus(implicit p: Parameters) extends DCacheBundle {
100  val set = UInt(idxBits.W)
101  val way_en = UInt(nWays.W)
102}
103
104class MainPipeInfoToMQ(implicit p:Parameters) extends DCacheBundle {
105  val s2_valid = Bool()
106  val s2_miss_id = UInt(log2Up(cfg.nMissEntries).W) // For refill data selection
107  val s2_replay_to_mq = Bool()
108  val s3_valid = Bool()
109  val s3_miss_id = UInt(log2Up(cfg.nMissEntries).W) // For mshr release
110  val s3_refill_resp = Bool()
111}
112
113class MainPipe(implicit p: Parameters) extends DCacheModule with HasPerfEvents with HasL1PrefetchSourceParameter {
114  val io = IO(new Bundle() {
115    // probe queue
116    val probe_req = Flipped(DecoupledIO(new MainPipeReq))
117    // store miss go to miss queue
118    val miss_req = DecoupledIO(new MissReq)
119    val miss_resp = Input(new MissResp) // miss resp is used to support plru update
120    val refill_req = Flipped(DecoupledIO(new MainPipeReq))
121    // send miss request to wbq
122    val wbq_conflict_check = Valid(UInt())
123    val wbq_block_miss_req = Input(Bool())
124    // store buffer
125    val store_req = Flipped(DecoupledIO(new DCacheLineReq))
126    val store_replay_resp = ValidIO(new DCacheLineResp)
127    val store_hit_resp = ValidIO(new DCacheLineResp)
128    val release_update = ValidIO(new ReleaseUpdate)
129    // atmoics
130    val atomic_req = Flipped(DecoupledIO(new MainPipeReq))
131    val atomic_resp = ValidIO(new MainPipeResp)
132    // find matched refill data in missentry
133    val mainpipe_info = Output(new MainPipeInfoToMQ)
134    // missqueue refill data
135    val refill_info = Flipped(ValidIO(new MissQueueRefillInfo))
136    // write-back queue
137    val wb = DecoupledIO(new WritebackReq)
138    val wb_ready_dup = Vec(nDupWbReady, Input(Bool()))
139
140    // data sram
141    val data_read = Vec(LoadPipelineWidth, Input(Bool()))
142    val data_read_intend = Output(Bool())
143    val data_readline = DecoupledIO(new L1BankedDataReadLineReq)
144    val data_resp = Input(Vec(DCacheBanks, new L1BankedDataReadResult()))
145    val readline_error_delayed = Input(Bool())
146    val data_write = DecoupledIO(new L1BankedDataWriteReq)
147    val data_write_dup = Vec(DCacheBanks, Valid(new L1BankedDataWriteReqCtrl))
148    val data_write_ready_dup = Vec(nDupDataWriteReady, Input(Bool()))
149
150    // meta array
151    val meta_read = DecoupledIO(new MetaReadReq)
152    val meta_resp = Input(Vec(nWays, new Meta))
153    val meta_write = DecoupledIO(new CohMetaWriteReq)
154    val extra_meta_resp = Input(Vec(nWays, new DCacheExtraMeta))
155    val error_flag_write = DecoupledIO(new FlagMetaWriteReq)
156    val prefetch_flag_write = DecoupledIO(new SourceMetaWriteReq)
157    val access_flag_write = DecoupledIO(new FlagMetaWriteReq)
158
159    // tag sram
160    val tag_read = DecoupledIO(new TagReadReq)
161    val tag_resp = Input(Vec(nWays, UInt(encTagBits.W)))
162    val tag_write = DecoupledIO(new TagWriteReq)
163    val tag_write_ready_dup = Vec(nDupTagWriteReady, Input(Bool()))
164    val tag_write_intend = Output(new Bool())
165
166    // update state vec in replacement algo
167    val replace_access = ValidIO(new ReplacementAccessBundle)
168    // find the way to be replaced
169    val replace_way = new ReplacementWayReqIO
170
171    // writeback addr to be replaced
172    val replace_addr = ValidIO(UInt(PAddrBits.W))
173    val replace_block = Input(Bool())
174
175    // sms prefetch
176    val sms_agt_evict_req = DecoupledIO(new AGTEvictReq)
177
178    val status = new Bundle() {
179      val s0_set = ValidIO(UInt(idxBits.W))
180      val s1, s2, s3 = ValidIO(new MainPipeStatus)
181    }
182    val status_dup = Vec(nDupStatus, new Bundle() {
183      val s1, s2, s3 = ValidIO(new MainPipeStatus)
184    })
185
186    // lrsc locked block should block probe
187    val lrsc_locked_block = Output(Valid(UInt(PAddrBits.W)))
188    val invalid_resv_set = Input(Bool())
189    val update_resv_set = Output(Bool())
190    val block_lr = Output(Bool())
191
192    // ecc error
193    val error = Output(ValidIO(new L1CacheErrorInfo))
194    // force write
195    val force_write = Input(Bool())
196
197    val bloom_filter_query = new Bundle {
198      val set = ValidIO(new BloomQueryBundle(BLOOM_FILTER_ENTRY_NUM))
199      val clr = ValidIO(new BloomQueryBundle(BLOOM_FILTER_ENTRY_NUM))
200    }
201  })
202
203  // meta array is made of regs, so meta write or read should always be ready
204  assert(RegNext(io.meta_read.ready))
205  assert(RegNext(io.meta_write.ready))
206
207  val s1_s0_set_conflict, s2_s0_set_conlict, s3_s0_set_conflict = Wire(Bool())
208  val set_conflict = s1_s0_set_conflict || s2_s0_set_conlict || s3_s0_set_conflict
209  // check sbuffer store req set_conflict in parallel with req arbiter
210  // it will speed up the generation of store_req.ready, which is in crit. path
211  val s1_s0_set_conflict_store, s2_s0_set_conlict_store, s3_s0_set_conflict_store = Wire(Bool())
212  val store_set_conflict = s1_s0_set_conflict_store || s2_s0_set_conlict_store || s3_s0_set_conflict_store
213  val s1_ready, s2_ready, s3_ready = Wire(Bool())
214
215  // convert store req to main pipe req, and select a req from store and probe
216  val storeWaitCycles = RegInit(0.U(4.W))
217  val StoreWaitThreshold = Wire(UInt(4.W))
218  StoreWaitThreshold := Constantin.createRecord(s"StoreWaitThreshold_${p(XSCoreParamsKey).HartId}", initValue = 0)
219  val storeWaitTooLong = storeWaitCycles >= StoreWaitThreshold
220  val loadsAreComing = io.data_read.asUInt.orR
221  val storeCanAccept = storeWaitTooLong || !loadsAreComing || io.force_write
222
223  val store_req = Wire(DecoupledIO(new MainPipeReq))
224  store_req.bits := (new MainPipeReq).convertStoreReq(io.store_req.bits)
225  store_req.valid := io.store_req.valid && storeCanAccept
226  io.store_req.ready := store_req.ready && storeCanAccept
227
228
229  when (store_req.fire) { // if wait too long and write success, reset counter.
230    storeWaitCycles := 0.U
231  } .elsewhen (storeWaitCycles < StoreWaitThreshold && io.store_req.valid && !store_req.ready) { // if block store, increase counter.
232    storeWaitCycles := storeWaitCycles + 1.U
233  }
234
235  // s0: read meta and tag
236  val req = Wire(DecoupledIO(new MainPipeReq))
237  arbiter(
238    in = Seq(
239      io.probe_req,
240      io.refill_req,
241      store_req, // Note: store_req.ready is now manually assigned for better timing
242      io.atomic_req,
243    ),
244    out = req,
245    name = Some("main_pipe_req")
246  )
247
248  val store_idx = get_idx(io.store_req.bits.vaddr)
249  // manually assign store_req.ready for better timing
250  // now store_req set conflict check is done in parallel with req arbiter
251  store_req.ready := io.meta_read.ready && io.tag_read.ready && s1_ready && !store_set_conflict &&
252    !io.probe_req.valid && !io.refill_req.valid && !io.atomic_req.valid
253  val s0_req = req.bits
254  val s0_idx = get_idx(s0_req.vaddr)
255  val s0_need_tag = io.tag_read.valid
256  val s0_can_go = io.meta_read.ready && io.tag_read.ready && s1_ready && !set_conflict
257  val s0_fire = req.valid && s0_can_go
258
259  val bank_write = VecInit((0 until DCacheBanks).map(i => get_mask_of_bank(i, s0_req.store_mask).orR)).asUInt
260  val bank_full_write = VecInit((0 until DCacheBanks).map(i => get_mask_of_bank(i, s0_req.store_mask).andR)).asUInt
261  val banks_full_overwrite = bank_full_write.andR
262
263  val banked_store_rmask = bank_write & ~bank_full_write
264  val banked_full_rmask = ~0.U(DCacheBanks.W)
265  val banked_none_rmask = 0.U(DCacheBanks.W)
266
267  val store_need_data = !s0_req.probe && s0_req.isStore && banked_store_rmask.orR
268  val probe_need_data = s0_req.probe
269  val amo_need_data = !s0_req.probe && s0_req.isAMO
270  val miss_need_data = s0_req.miss
271  val replace_need_data = s0_req.replace
272
273  val banked_need_data = store_need_data || probe_need_data || amo_need_data || miss_need_data || replace_need_data
274
275  val s0_banked_rmask = Mux(store_need_data, banked_store_rmask,
276    Mux(probe_need_data || amo_need_data || miss_need_data || replace_need_data,
277      banked_full_rmask,
278      banked_none_rmask
279    ))
280
281  // generate wmask here and use it in stage 2
282  val banked_store_wmask = bank_write
283  val banked_full_wmask = ~0.U(DCacheBanks.W)
284  val banked_none_wmask = 0.U(DCacheBanks.W)
285
286  // s1: read data
287  val s1_valid = RegInit(false.B)
288  val s1_need_data = RegEnable(banked_need_data, s0_fire)
289  val s1_req = RegEnable(s0_req, s0_fire)
290  val s1_banked_rmask = RegEnable(s0_banked_rmask, s0_fire)
291  val s1_banked_store_wmask = RegEnable(banked_store_wmask, s0_fire)
292  val s1_need_tag = RegEnable(s0_need_tag, s0_fire)
293  val s1_can_go = s2_ready && (io.data_readline.ready || !s1_need_data)
294  val s1_fire = s1_valid && s1_can_go
295  val s1_idx = get_idx(s1_req.vaddr)
296
297  // duplicate regs to reduce fanout
298  val s1_valid_dup = RegInit(VecInit(Seq.fill(6)(false.B)))
299  val s1_req_vaddr_dup_for_data_read = RegEnable(s0_req.vaddr, s0_fire)
300  val s1_idx_dup_for_replace_way = RegEnable(get_idx(s0_req.vaddr), s0_fire)
301  val s1_dmWay_dup_for_replace_way = RegEnable(get_direct_map_way(s0_req.vaddr), s0_fire)
302
303  val s1_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B)))
304
305  when (s0_fire) {
306    s1_valid := true.B
307    s1_valid_dup.foreach(_ := true.B)
308    s1_valid_dup_for_status.foreach(_ := true.B)
309  }.elsewhen (s1_fire) {
310    s1_valid := false.B
311    s1_valid_dup.foreach(_ := false.B)
312    s1_valid_dup_for_status.foreach(_ := false.B)
313  }
314  s1_ready := !s1_valid_dup(0) || s1_can_go
315  s1_s0_set_conflict := s1_valid_dup(1) && s0_idx === s1_idx
316  s1_s0_set_conflict_store := s1_valid_dup(2) && store_idx === s1_idx
317
318  val meta_resp = Wire(Vec(nWays, (new Meta).asUInt))
319  val tag_resp = Wire(Vec(nWays, UInt(tagBits.W)))
320  meta_resp := Mux(GatedValidRegNext(s0_fire), VecInit(io.meta_resp.map(_.asUInt)), RegEnable(meta_resp, s1_valid))
321  tag_resp := Mux(GatedValidRegNext(s0_fire), VecInit(io.tag_resp.map(r => r(tagBits - 1, 0))), RegEnable(tag_resp, s1_valid))
322  val enc_tag_resp = Wire(io.tag_resp.cloneType)
323  enc_tag_resp := Mux(GatedValidRegNext(s0_fire), io.tag_resp, RegEnable(enc_tag_resp, s1_valid))
324
325  def wayMap[T <: Data](f: Int => T) = VecInit((0 until nWays).map(f))
326  val s1_tag_eq_way = wayMap((w: Int) => tag_resp(w) === get_tag(s1_req.addr)).asUInt
327  val s1_tag_match_way = wayMap((w: Int) => s1_tag_eq_way(w) && Meta(meta_resp(w)).coh.isValid()).asUInt
328  val s1_tag_match = ParallelORR(s1_tag_match_way)
329
330  val s1_hit_tag = get_tag(s1_req.addr)
331  val s1_hit_coh = ClientMetadata(ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => meta_resp(w))))
332  val s1_encTag = ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => enc_tag_resp(w)))
333  val s1_flag_error = ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).error))
334  val s1_extra_meta = ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => io.extra_meta_resp(w)))
335
336  XSPerfAccumulate("probe_unused_prefetch", s1_req.probe && isFromL1Prefetch(s1_extra_meta.prefetch) && !s1_extra_meta.access) // may not be accurate
337  XSPerfAccumulate("replace_unused_prefetch", s1_req.replace && isFromL1Prefetch(s1_extra_meta.prefetch) && !s1_extra_meta.access) // may not be accurate
338
339  // replacement policy
340  val s1_invalid_vec = wayMap(w => !meta_resp(w).asTypeOf(new Meta).coh.isValid())
341  val s1_have_invalid_way = s1_invalid_vec.asUInt.orR
342  val s1_invalid_way_en = ParallelPriorityMux(s1_invalid_vec.zipWithIndex.map(x => x._1 -> UIntToOH(x._2.U(nWays.W))))
343  val s1_repl_way_en = WireInit(0.U(nWays.W))
344  s1_repl_way_en := Mux(
345    GatedValidRegNext(s0_fire),
346    UIntToOH(io.replace_way.way),
347    RegEnable(s1_repl_way_en, s1_valid)
348  )
349  val s1_repl_tag = ParallelMux(s1_repl_way_en.asBools, (0 until nWays).map(w => tag_resp(w)))
350  val s1_repl_coh = ParallelMux(s1_repl_way_en.asBools, (0 until nWays).map(w => meta_resp(w))).asTypeOf(new ClientMetadata)
351  val s1_repl_pf  = ParallelMux(s1_repl_way_en.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).prefetch))
352
353  val s1_repl_way_raw = WireInit(0.U(log2Up(nWays).W))
354  s1_repl_way_raw := Mux(GatedValidRegNext(s0_fire), io.replace_way.way, RegEnable(s1_repl_way_raw, s1_valid))
355
356  val s1_need_replacement = s1_req.miss && !s1_tag_match
357  val s1_need_eviction = s1_req.miss && !s1_tag_match && s1_repl_coh.state =/= ClientStates.Nothing
358
359  val s1_way_en = Mux(s1_need_replacement, s1_repl_way_en, s1_tag_match_way)
360  assert(!RegNext(s1_fire && PopCount(s1_way_en) > 1.U))
361
362  val s1_tag = s1_hit_tag
363
364  val s1_coh = s1_hit_coh
365
366  XSPerfAccumulate("store_has_invalid_way_but_select_valid_way", io.replace_way.set.valid && wayMap(w => !meta_resp(w).asTypeOf(new Meta).coh.isValid()).asUInt.orR && s1_need_replacement && s1_repl_coh.isValid())
367  XSPerfAccumulate("store_using_replacement", io.replace_way.set.valid && s1_need_replacement)
368
369  val s1_has_permission = s1_hit_coh.onAccess(s1_req.cmd)._1
370  val s1_hit = s1_tag_match && s1_has_permission
371  val s1_pregen_can_go_to_mq = !s1_req.replace && !s1_req.probe && !s1_req.miss && (s1_req.isStore || s1_req.isAMO) && !s1_hit
372
373  // s2: select data, return resp if this is a store miss
374  val s2_valid = RegInit(false.B)
375  val s2_req = RegEnable(s1_req, s1_fire)
376  val s2_tag_match = RegEnable(s1_tag_match, s1_fire)
377  val s2_tag_match_way = RegEnable(s1_tag_match_way, s1_fire)
378  val s2_hit_coh = RegEnable(s1_hit_coh, s1_fire)
379  val (s2_has_permission, _, s2_new_hit_coh) = s2_hit_coh.onAccess(s2_req.cmd)
380
381  val s2_repl_tag = RegEnable(s1_repl_tag, s1_fire)
382  val s2_repl_coh = RegEnable(s1_repl_coh, s1_fire)
383  val s2_repl_pf  = RegEnable(s1_repl_pf, s1_fire)
384  val s2_need_replacement = RegEnable(s1_need_replacement, s1_fire)
385  val s2_need_eviction = RegEnable(s1_need_eviction, s1_fire)
386  val s2_need_data = RegEnable(s1_need_data, s1_fire)
387  val s2_need_tag = RegEnable(s1_need_tag, s1_fire)
388  val s2_encTag = RegEnable(s1_encTag, s1_fire)
389  val s2_idx = get_idx(s2_req.vaddr)
390
391  // duplicate regs to reduce fanout
392  val s2_valid_dup = RegInit(VecInit(Seq.fill(8)(false.B)))
393  val s2_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B)))
394  val s2_req_vaddr_dup_for_miss_req = RegEnable(s1_req.vaddr, s1_fire)
395  val s2_idx_dup_for_status = RegEnable(get_idx(s1_req.vaddr), s1_fire)
396  val s2_idx_dup_for_replace_access = RegEnable(get_idx(s1_req.vaddr), s1_fire)
397
398  val s2_req_replace_dup_1,
399      s2_req_replace_dup_2 = RegEnable(s1_req.replace, s1_fire)
400
401  val s2_can_go_to_mq_dup = (0 until 3).map(_ => RegEnable(s1_pregen_can_go_to_mq, s1_fire))
402
403  val s2_way_en = RegEnable(s1_way_en, s1_fire)
404  val s2_tag = Mux(s2_need_replacement, s2_repl_tag, RegEnable(s1_tag, s1_fire))
405  val s2_coh = Mux(s2_need_replacement, s2_repl_coh, RegEnable(s1_coh, s1_fire))
406  val s2_banked_store_wmask = RegEnable(s1_banked_store_wmask, s1_fire)
407  val s2_flag_error = RegEnable(s1_flag_error, s1_fire)
408  val s2_tag_error = WireInit(false.B)
409  val s2_l2_error = Mux(io.refill_info.valid, io.refill_info.bits.error, s2_req.error)
410  val s2_error = s2_flag_error || s2_tag_error || s2_l2_error // data_error not included
411
412  val s2_may_report_data_error = s2_need_data && s2_coh.state =/= ClientStates.Nothing
413
414  val s2_hit = s2_tag_match && s2_has_permission
415  val s2_amo_hit = s2_hit && !s2_req.probe && !s2_req.miss && s2_req.isAMO
416  val s2_store_hit = s2_hit && !s2_req.probe && !s2_req.miss && s2_req.isStore
417
418  if(EnableTagEcc) {
419    s2_tag_error := dcacheParameters.tagCode.decode(s2_encTag).error && s2_need_tag
420  }else {
421    s2_tag_error := false.B
422  }
423
424  s2_s0_set_conlict := s2_valid_dup(0) && s0_idx === s2_idx
425  s2_s0_set_conlict_store := s2_valid_dup(1) && store_idx === s2_idx
426
427  // For a store req, it either hits and goes to s3, or miss and enter miss queue immediately
428  val s2_req_miss_without_data = Mux(s2_valid, s2_req.miss && !io.refill_info.valid, false.B)
429  val s2_can_go_to_mq_replay = (s2_req_miss_without_data && RegEnable(s2_req_miss_without_data && !io.mainpipe_info.s2_replay_to_mq, false.B, s2_valid)) || io.replace_block // miss_req in s2 but refill data is invalid, can block 1 cycle
430  val s2_can_go_to_s3 = (s2_req_replace_dup_1 || s2_req.probe || (s2_req.miss && io.refill_info.valid && !io.replace_block) || (s2_req.isStore || s2_req.isAMO) && s2_hit) && s3_ready
431  val s2_can_go_to_mq = RegEnable(s1_pregen_can_go_to_mq, s1_fire)
432  assert(RegNext(!(s2_valid && s2_can_go_to_s3 && s2_can_go_to_mq && s2_can_go_to_mq_replay)))
433  val s2_can_go = s2_can_go_to_s3 || s2_can_go_to_mq || s2_can_go_to_mq_replay
434  val s2_fire = s2_valid && s2_can_go
435  val s2_fire_to_s3 = s2_valid_dup(2) && s2_can_go_to_s3
436  when (s1_fire) {
437    s2_valid := true.B
438    s2_valid_dup.foreach(_ := true.B)
439    s2_valid_dup_for_status.foreach(_ := true.B)
440  }.elsewhen (s2_fire) {
441    s2_valid := false.B
442    s2_valid_dup.foreach(_ := false.B)
443    s2_valid_dup_for_status.foreach(_ := false.B)
444  }
445  s2_ready := !s2_valid_dup(3) || s2_can_go
446  val replay = !io.miss_req.ready || io.wbq_block_miss_req
447
448  val data_resp = Wire(io.data_resp.cloneType)
449  data_resp := Mux(GatedValidRegNext(s1_fire), io.data_resp, RegEnable(data_resp, s2_valid))
450  val s2_store_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
451
452  def mergePutData(old_data: UInt, new_data: UInt, wmask: UInt): UInt = {
453    val full_wmask = FillInterleaved(8, wmask)
454    ((~full_wmask & old_data) | (full_wmask & new_data))
455  }
456
457  val s2_data = WireInit(VecInit((0 until DCacheBanks).map(i => {
458    data_resp(i).raw_data
459  })))
460
461  for (i <- 0 until DCacheBanks) {
462    val old_data = s2_data(i)
463    val new_data = get_data_of_bank(i, Mux(s2_req.miss, io.refill_info.bits.store_data, s2_req.store_data))
464    // for amo hit, we should use read out SRAM data
465    // do not merge with store data
466    val wmask = Mux(s2_amo_hit, 0.U(wordBytes.W), get_mask_of_bank(i, Mux(s2_req.miss, io.refill_info.bits.store_mask, s2_req.store_mask)))
467    s2_store_data_merged(i) := mergePutData(old_data, new_data, wmask)
468  }
469
470  val s2_data_word = s2_store_data_merged(s2_req.word_idx)
471
472  XSError(s2_valid && s2_can_go_to_s3 && s2_req.miss && !io.refill_info.valid, "MainPipe req can go to s3 but no refill data")
473
474  // s3: write data, meta and tag
475  val s3_valid = RegInit(false.B)
476  val s3_req = RegEnable(s2_req, s2_fire_to_s3)
477  val s3_miss_param = RegEnable(io.refill_info.bits.miss_param, s2_fire_to_s3)
478  val s3_miss_dirty = RegEnable(io.refill_info.bits.miss_dirty, s2_fire_to_s3)
479  val s3_tag = RegEnable(s2_tag, s2_fire_to_s3)
480  val s3_tag_match = RegEnable(s2_tag_match, s2_fire_to_s3)
481  val s3_coh = RegEnable(s2_coh, s2_fire_to_s3)
482  val s3_hit = RegEnable(s2_hit, s2_fire_to_s3)
483  val s3_amo_hit = RegEnable(s2_amo_hit, s2_fire_to_s3)
484  val s3_store_hit = RegEnable(s2_store_hit, s2_fire_to_s3)
485  val s3_hit_coh = RegEnable(s2_hit_coh, s2_fire_to_s3)
486  val s3_new_hit_coh = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
487  val s3_way_en = RegEnable(s2_way_en, s2_fire_to_s3)
488  val s3_banked_store_wmask = RegEnable(s2_banked_store_wmask, s2_fire_to_s3)
489  val s3_store_data_merged = RegEnable(s2_store_data_merged, s2_fire_to_s3)
490  val s3_data_word = RegEnable(s2_data_word, s2_fire_to_s3)
491  val s3_data = RegEnable(s2_data, s2_fire_to_s3)
492  val s3_l2_error = RegEnable(s2_l2_error, s2_fire_to_s3)
493  // data_error will be reported by data array 1 cycle after data read resp
494  val s3_data_error = Wire(Bool())
495  s3_data_error := Mux(GatedValidRegNextN(s1_fire,2), // ecc check result is generated 2 cycle after read req
496    io.readline_error_delayed && RegNext(s2_may_report_data_error),
497    RegNext(s3_data_error) // do not update s3_data_error if !s1_fire
498  )
499  // error signal for amo inst
500  // s3_error = s3_flag_error || s3_tag_error || s3_l2_error || s3_data_error
501  val s3_error = RegEnable(s2_error, 0.U.asTypeOf(s2_error), s2_fire_to_s3) || s3_data_error
502  val (_, _, probe_new_coh) = s3_coh.onProbe(s3_req.probe_param)
503  val s3_need_replacement = RegEnable(s2_need_replacement, s2_fire_to_s3)
504
505  // duplicate regs to reduce fanout
506  val s3_valid_dup = RegInit(VecInit(Seq.fill(14)(false.B)))
507  val s3_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B)))
508  val s3_way_en_dup = (0 until 4).map(_ => RegEnable(s2_way_en, s2_fire_to_s3))
509  val s3_coh_dup = (0 until 6).map(_ => RegEnable(s2_coh, s2_fire_to_s3))
510  val s3_tag_match_dup = RegEnable(s2_tag_match, s2_fire_to_s3)
511
512  val s3_req_vaddr_dup_for_wb,
513      s3_req_vaddr_dup_for_data_write = RegEnable(s2_req.vaddr, s2_fire_to_s3)
514
515  val s3_idx_dup = (0 until 6).map(_ => RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3))
516  val s3_idx_dup_for_replace_access = RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3)
517
518  val s3_req_replace_dup = (0 until 8).map(_ => RegEnable(s2_req.replace, s2_fire_to_s3))
519  val s3_req_cmd_dup = (0 until 6).map(_ => RegEnable(s2_req.cmd, s2_fire_to_s3))
520  val s3_req_source_dup_1, s3_req_source_dup_2 = RegEnable(s2_req.source, s2_fire_to_s3)
521  val s3_req_addr_dup = (0 until 5).map(_ => RegEnable(s2_req.addr, s2_fire_to_s3))
522  val s3_req_probe_dup = (0 until 10).map(_ => RegEnable(s2_req.probe, s2_fire_to_s3))
523  val s3_req_miss_dup = (0 until 10).map(_ => RegEnable(s2_req.miss, s2_fire_to_s3))
524  val s3_req_word_idx_dup = (0 until DCacheBanks).map(_ => RegEnable(s2_req.word_idx, s2_fire_to_s3))
525
526  val s3_need_replacement_dup = RegEnable(s2_need_replacement, s2_fire_to_s3)
527
528  val s3_s_amoalu_dup = RegInit(VecInit(Seq.fill(3)(false.B)))
529
530  val s3_hit_coh_dup = RegEnable(s2_hit_coh, s2_fire_to_s3)
531  val s3_new_hit_coh_dup = (0 until 2).map(_ => RegEnable(s2_new_hit_coh, s2_fire_to_s3))
532  val s3_amo_hit_dup = RegEnable(s2_amo_hit, s2_fire_to_s3)
533  val s3_store_hit_dup = (0 until 2).map(_ => RegEnable(s2_store_hit, s2_fire_to_s3))
534
535  val lrsc_count_dup = RegInit(VecInit(Seq.fill(3)(0.U(log2Ceil(LRSCCycles).W))))
536  val lrsc_valid_dup = lrsc_count_dup.map { case cnt => cnt > LRSCBackOff.U }
537  val lrsc_addr_dup = Reg(UInt())
538
539  val s3_req_probe_param_dup = RegEnable(s2_req.probe_param, s2_fire_to_s3)
540  val (_, probe_shrink_param, _) = s3_coh.onProbe(s3_req_probe_param_dup)
541
542
543  val miss_update_meta = s3_req.miss
544  val probe_update_meta = s3_req_probe_dup(0) && s3_tag_match_dup && s3_coh_dup(0) =/= probe_new_coh
545  val store_update_meta = s3_req.isStore && !s3_req_probe_dup(1) && s3_hit_coh =/= s3_new_hit_coh_dup(0)
546  val amo_update_meta = s3_req.isAMO && !s3_req_probe_dup(2) && s3_hit_coh_dup =/= s3_new_hit_coh_dup(1)
547  val amo_wait_amoalu = s3_req.isAMO && s3_req_cmd_dup(0) =/= M_XLR && s3_req_cmd_dup(1) =/= M_XSC
548  val update_meta = (miss_update_meta || probe_update_meta || store_update_meta || amo_update_meta) && !s3_req_replace_dup(0)
549
550  def missCohGen(cmd: UInt, param: UInt, dirty: Bool) = {
551    val c = categorize(cmd)
552    MuxLookup(Cat(c, param, dirty), Nothing)(Seq(
553      //(effect param) -> (next)
554      Cat(rd, toB, false.B)  -> Branch,
555      Cat(rd, toB, true.B)   -> Branch,
556      Cat(rd, toT, false.B)  -> Trunk,
557      Cat(rd, toT, true.B)   -> Dirty,
558      Cat(wi, toT, false.B)  -> Trunk,
559      Cat(wi, toT, true.B)   -> Dirty,
560      Cat(wr, toT, false.B)  -> Dirty,
561      Cat(wr, toT, true.B)   -> Dirty))
562  }
563
564  val miss_new_coh = ClientMetadata(missCohGen(s3_req_cmd_dup(2), s3_miss_param, s3_miss_dirty))
565
566  // LR, SC and AMO
567  val debug_sc_fail_addr = RegInit(0.U)
568  val debug_sc_fail_cnt  = RegInit(0.U(8.W))
569  val debug_sc_addr_match_fail_cnt  = RegInit(0.U(8.W))
570
571  val lrsc_count = RegInit(0.U(log2Ceil(LRSCCycles).W))
572  // val lrsc_valid = lrsc_count > LRSCBackOff.U
573  val lrsc_addr  = Reg(UInt())
574  val s3_lr = !s3_req_probe_dup(3) && s3_req.isAMO && s3_req_cmd_dup(3) === M_XLR
575  val s3_sc = !s3_req_probe_dup(4) && s3_req.isAMO && s3_req_cmd_dup(4) === M_XSC
576  val s3_lrsc_addr_match = lrsc_valid_dup(0) && lrsc_addr === get_block_addr(s3_req.addr)
577  val s3_sc_fail = s3_sc && !s3_lrsc_addr_match
578  val debug_s3_sc_fail_addr_match = s3_sc && lrsc_addr === get_block_addr(s3_req.addr) && !lrsc_valid_dup(0)
579  val s3_sc_resp = Mux(s3_sc_fail, 1.U, 0.U)
580
581  val s3_can_do_amo = (s3_req_miss_dup(0) && !s3_req_probe_dup(5) && s3_req.isAMO) || s3_amo_hit
582  val s3_can_do_amo_write = s3_can_do_amo && isWrite(s3_req_cmd_dup(5)) && !s3_sc_fail
583
584  val lrsc_valid = lrsc_count > 0.U
585
586  when (s3_valid_dup(0) && (s3_lr || s3_sc)) {
587    when (s3_can_do_amo && s3_lr) {
588      lrsc_count := (LRSCCycles - 1).U
589      lrsc_count_dup.foreach(_ := (LRSCCycles - 1).U)
590      lrsc_addr := get_block_addr(s3_req_addr_dup(0))
591      lrsc_addr_dup := get_block_addr(s3_req_addr_dup(0))
592    } .otherwise {
593      lrsc_count := 0.U
594      lrsc_count_dup.foreach(_ := 0.U)
595    }
596  }.elsewhen (io.invalid_resv_set) {
597    // when we release this block,
598    // we invalidate this reservation set
599    lrsc_count := 0.U
600    lrsc_count_dup.foreach(_ := 0.U)
601  }.elsewhen (lrsc_valid) {
602    lrsc_count := lrsc_count - 1.U
603    lrsc_count_dup.foreach({case cnt =>
604      cnt := cnt - 1.U
605    })
606  }
607
608
609  io.lrsc_locked_block.valid := lrsc_valid_dup(1)
610  io.lrsc_locked_block.bits  := lrsc_addr_dup
611  io.block_lr := GatedValidRegNext(lrsc_valid)
612
613  // When we update update_resv_set, block all probe req in the next cycle
614  // It should give Probe reservation set addr compare an independent cycle,
615  // which will lead to better timing
616  io.update_resv_set := s3_valid_dup(1) && s3_lr && s3_can_do_amo
617
618  when (s3_valid_dup(2)) {
619    when (s3_req_addr_dup(1) === debug_sc_fail_addr) {
620      when (s3_sc_fail) {
621        debug_sc_fail_cnt := debug_sc_fail_cnt + 1.U
622      } .elsewhen (s3_sc) {
623        debug_sc_fail_cnt := 0.U
624      }
625    } .otherwise {
626      when (s3_sc_fail) {
627        debug_sc_fail_addr := s3_req_addr_dup(2)
628        debug_sc_fail_cnt  := 1.U
629        XSWarn(s3_sc_fail === 100.U, p"L1DCache failed too many SCs in a row 0x${Hexadecimal(debug_sc_fail_addr)}, check if sth went wrong\n")
630      }
631    }
632  }
633  XSWarn(debug_sc_fail_cnt > 100.U, "L1DCache failed too many SCs in a row")
634
635  when (s3_valid_dup(2)) {
636    when (s3_req_addr_dup(1) === debug_sc_fail_addr) {
637      when (debug_s3_sc_fail_addr_match) {
638        debug_sc_addr_match_fail_cnt := debug_sc_addr_match_fail_cnt + 1.U
639      } .elsewhen (s3_sc) {
640        debug_sc_addr_match_fail_cnt := 0.U
641      }
642    } .otherwise {
643      when (s3_sc_fail) {
644        debug_sc_addr_match_fail_cnt  := 1.U
645      }
646    }
647  }
648  XSError(debug_sc_addr_match_fail_cnt > 100.U, "L1DCache failed too many SCs in a row, resv set addr always match")
649
650
651  val banked_amo_wmask = UIntToOH(s3_req.word_idx)
652  val update_data = s3_req_miss_dup(2) || s3_store_hit_dup(0) || s3_can_do_amo_write
653
654  // generate write data
655  // AMO hits
656  val s3_s_amoalu = RegInit(false.B)
657  val do_amoalu = amo_wait_amoalu && s3_valid_dup(3) && !s3_s_amoalu
658  val amoalu   = Module(new AMOALU(wordBits))
659  amoalu.io.mask := s3_req.amo_mask
660  amoalu.io.cmd  := s3_req.cmd
661  amoalu.io.lhs  := s3_data_word
662  amoalu.io.rhs  := s3_req.amo_data
663
664  // merge amo write data
665//  val amo_bitmask = FillInterleaved(8, s3_req.amo_mask)
666  val s3_amo_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
667  val s3_sc_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
668  for (i <- 0 until DCacheBanks) {
669    val old_data = s3_store_data_merged(i)
670    val new_data = amoalu.io.out
671    val wmask = Mux(
672      s3_req_word_idx_dup(i) === i.U,
673      ~0.U(wordBytes.W),
674      0.U(wordBytes.W)
675    )
676    s3_amo_data_merged(i) := mergePutData(old_data, new_data, wmask)
677    s3_sc_data_merged(i) := mergePutData(old_data, s3_req.amo_data,
678      Mux(s3_req_word_idx_dup(i) === i.U && !s3_sc_fail, s3_req.amo_mask, 0.U(wordBytes.W))
679    )
680  }
681  val s3_amo_data_merged_reg = RegEnable(s3_amo_data_merged, do_amoalu)
682  when(do_amoalu){
683    s3_s_amoalu := true.B
684    s3_s_amoalu_dup.foreach(_ := true.B)
685  }
686
687  val miss_wb = s3_req_miss_dup(3) && s3_need_replacement && s3_coh_dup(1).state =/= ClientStates.Nothing
688  val miss_wb_dup = s3_req_miss_dup(3) && s3_need_replacement_dup && s3_coh_dup(1).state =/= ClientStates.Nothing
689  val probe_wb = s3_req.probe
690  val replace_wb = s3_req.replace
691  val need_wb = miss_wb_dup || probe_wb || replace_wb
692
693  val (_, miss_shrink_param, _) = s3_coh_dup(2).onCacheControl(M_FLUSH)
694  val writeback_param = Mux(probe_wb, probe_shrink_param, miss_shrink_param)
695  val writeback_data = if (dcacheParameters.alwaysReleaseData) {
696    s3_tag_match && s3_req_probe_dup(6) && s3_req.probe_need_data ||
697      s3_coh_dup(3) === ClientStates.Dirty || (miss_wb || replace_wb) && s3_coh_dup(3).state =/= ClientStates.Nothing
698  } else {
699    s3_tag_match && s3_req_probe_dup(6) && s3_req.probe_need_data || s3_coh_dup(3) === ClientStates.Dirty
700  }
701
702  val s3_probe_can_go = s3_req_probe_dup(7) && io.wb.ready && (io.meta_write.ready || !probe_update_meta)
703  val s3_store_can_go = s3_req_source_dup_1 === STORE_SOURCE.U && !s3_req_probe_dup(8) && (io.meta_write.ready || !store_update_meta) && (io.data_write.ready || !update_data) && !s3_req.miss
704  val s3_amo_can_go = s3_amo_hit_dup && (io.meta_write.ready || !amo_update_meta) && (io.data_write.ready || !update_data) && (s3_s_amoalu_dup(0) || !amo_wait_amoalu)
705  val s3_miss_can_go = s3_req_miss_dup(4) &&
706    (io.meta_write.ready || !amo_update_meta) &&
707    (io.data_write.ready || !update_data) &&
708    (s3_s_amoalu_dup(1) || !amo_wait_amoalu) &&
709    io.tag_write.ready &&
710    io.wb.ready
711  val s3_replace_nothing = s3_req_replace_dup(1) && s3_coh_dup(4).state === ClientStates.Nothing
712  val s3_replace_can_go = s3_req_replace_dup(2) && (s3_replace_nothing || io.wb.ready)
713  val s3_can_go = s3_probe_can_go || s3_store_can_go || s3_amo_can_go || s3_miss_can_go || s3_replace_can_go
714  val s3_update_data_cango = s3_store_can_go || s3_amo_can_go || s3_miss_can_go // used to speed up data_write gen
715
716  // ---------------- duplicate regs for meta_write.valid to solve fanout ----------------
717  val s3_req_miss_dup_for_meta_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
718  val s3_req_probe_dup_for_meta_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
719  val s3_tag_match_dup_for_meta_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
720  val s3_coh_dup_for_meta_w_valid = RegEnable(s2_coh, s2_fire_to_s3)
721  val s3_req_probe_param_dup_for_meta_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
722  val (_, _, probe_new_coh_dup_for_meta_w_valid) = s3_coh_dup_for_meta_w_valid.onProbe(s3_req_probe_param_dup_for_meta_w_valid)
723  val s3_req_source_dup_for_meta_w_valid = RegEnable(s2_req.source, s2_fire_to_s3)
724  val s3_req_cmd_dup_for_meta_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
725  val s3_req_replace_dup_for_meta_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
726  val s3_hit_coh_dup_for_meta_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
727  val s3_new_hit_coh_dup_for_meta_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
728
729  val miss_update_meta_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid
730  val probe_update_meta_dup_for_meta_w_valid = WireInit(s3_req_probe_dup_for_meta_w_valid && s3_tag_match_dup_for_meta_w_valid && s3_coh_dup_for_meta_w_valid =/= probe_new_coh_dup_for_meta_w_valid)
731  val store_update_meta_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === STORE_SOURCE.U &&
732    !s3_req_probe_dup_for_meta_w_valid &&
733    s3_hit_coh_dup_for_meta_w_valid =/= s3_new_hit_coh_dup_for_meta_w_valid
734  val amo_update_meta_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U &&
735    !s3_req_probe_dup_for_meta_w_valid &&
736    s3_hit_coh_dup_for_meta_w_valid =/= s3_new_hit_coh_dup_for_meta_w_valid
737  val update_meta_dup_for_meta_w_valid =
738    miss_update_meta_dup_for_meta_w_valid ||
739    probe_update_meta_dup_for_meta_w_valid ||
740    store_update_meta_dup_for_meta_w_valid ||
741    amo_update_meta_dup_for_meta_w_valid ||
742    s3_req_replace_dup_for_meta_w_valid
743
744  val s3_valid_dup_for_meta_w_valid = RegInit(false.B)
745  val s3_amo_hit_dup_for_meta_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
746  val s3_s_amoalu_dup_for_meta_w_valid = RegInit(false.B)
747  val amo_wait_amoalu_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U &&
748    s3_req_cmd_dup_for_meta_w_valid =/= M_XLR &&
749    s3_req_cmd_dup_for_meta_w_valid =/= M_XSC
750  val do_amoalu_dup_for_meta_w_valid = amo_wait_amoalu_dup_for_meta_w_valid && s3_valid_dup_for_meta_w_valid && !s3_s_amoalu_dup_for_meta_w_valid
751
752  val s3_store_hit_dup_for_meta_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
753  val s3_req_addr_dup_for_meta_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
754  val s3_can_do_amo_dup_for_meta_w_valid = (s3_req_miss_dup_for_meta_w_valid && !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U) ||
755    s3_amo_hit_dup_for_meta_w_valid
756
757  val s3_lr_dup_for_meta_w_valid = !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_meta_w_valid === M_XLR
758  val s3_sc_dup_for_meta_w_valid = !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_meta_w_valid === M_XSC
759  val lrsc_addr_dup_for_meta_w_valid = Reg(UInt())
760  val lrsc_count_dup_for_meta_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
761
762  when (s3_valid_dup_for_meta_w_valid && (s3_lr_dup_for_meta_w_valid || s3_sc_dup_for_meta_w_valid)) {
763    when (s3_can_do_amo_dup_for_meta_w_valid && s3_lr_dup_for_meta_w_valid) {
764      lrsc_count_dup_for_meta_w_valid := (LRSCCycles - 1).U
765      lrsc_addr_dup_for_meta_w_valid := get_block_addr(s3_req_addr_dup_for_meta_w_valid)
766    }.otherwise {
767      lrsc_count_dup_for_meta_w_valid := 0.U
768    }
769  }.elsewhen (io.invalid_resv_set) {
770    lrsc_count_dup_for_meta_w_valid := 0.U
771  }.elsewhen (lrsc_count_dup_for_meta_w_valid > 0.U) {
772    lrsc_count_dup_for_meta_w_valid := lrsc_count_dup_for_meta_w_valid - 1.U
773  }
774
775  val lrsc_valid_dup_for_meta_w_valid = lrsc_count_dup_for_meta_w_valid > LRSCBackOff.U
776  val s3_lrsc_addr_match_dup_for_meta_w_valid = lrsc_valid_dup_for_meta_w_valid && lrsc_addr_dup_for_meta_w_valid === get_block_addr(s3_req_addr_dup_for_meta_w_valid)
777  val s3_sc_fail_dup_for_meta_w_valid = s3_sc_dup_for_meta_w_valid && !s3_lrsc_addr_match_dup_for_meta_w_valid
778  val s3_can_do_amo_write_dup_for_meta_w_valid = s3_can_do_amo_dup_for_meta_w_valid && isWrite(s3_req_cmd_dup_for_meta_w_valid) && !s3_sc_fail_dup_for_meta_w_valid
779  val update_data_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid || s3_store_hit_dup_for_meta_w_valid || s3_can_do_amo_write_dup_for_meta_w_valid
780
781  val s3_probe_can_go_dup_for_meta_w_valid = s3_req_probe_dup_for_meta_w_valid &&
782    io.wb_ready_dup(metaWritePort) &&
783    (io.meta_write.ready || !probe_update_meta_dup_for_meta_w_valid)
784  val s3_store_can_go_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_meta_w_valid &&
785    (io.meta_write.ready || !store_update_meta_dup_for_meta_w_valid) &&
786    (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) && !s3_req_miss_dup_for_meta_w_valid
787  val s3_amo_can_go_dup_for_meta_w_valid = s3_amo_hit_dup_for_meta_w_valid &&
788    (io.meta_write.ready || !amo_update_meta_dup_for_meta_w_valid) &&
789    (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) &&
790    (s3_s_amoalu_dup_for_meta_w_valid || !amo_wait_amoalu_dup_for_meta_w_valid)
791  val s3_miss_can_go_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid &&
792    (io.meta_write.ready || !amo_update_meta_dup_for_meta_w_valid) &&
793    (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) &&
794    (s3_s_amoalu_dup_for_meta_w_valid || !amo_wait_amoalu_dup_for_meta_w_valid) &&
795    io.tag_write_ready_dup(metaWritePort) &&
796    io.wb_ready_dup(metaWritePort)
797  val s3_replace_can_go_dup_for_meta_w_valid = s3_req_replace_dup_for_meta_w_valid &&
798    (s3_coh_dup_for_meta_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(metaWritePort)) &&
799    (io.meta_write.ready || !s3_req_replace_dup_for_meta_w_valid)
800
801  val s3_can_go_dup_for_meta_w_valid = s3_probe_can_go_dup_for_meta_w_valid ||
802    s3_store_can_go_dup_for_meta_w_valid ||
803    s3_amo_can_go_dup_for_meta_w_valid ||
804    s3_miss_can_go_dup_for_meta_w_valid ||
805    s3_replace_can_go_dup_for_meta_w_valid
806
807  val s3_fire_dup_for_meta_w_valid = s3_valid_dup_for_meta_w_valid && s3_can_go_dup_for_meta_w_valid
808  when (do_amoalu_dup_for_meta_w_valid) { s3_s_amoalu_dup_for_meta_w_valid := true.B }
809  when (s3_fire_dup_for_meta_w_valid) { s3_s_amoalu_dup_for_meta_w_valid := false.B }
810
811  val s3_probe_new_coh = probe_new_coh_dup_for_meta_w_valid
812
813  val new_coh = Mux(
814    miss_update_meta_dup_for_meta_w_valid,
815    miss_new_coh,
816    Mux(
817      probe_update_meta,
818      s3_probe_new_coh,
819      Mux(
820        store_update_meta_dup_for_meta_w_valid || amo_update_meta_dup_for_meta_w_valid,
821        s3_new_hit_coh_dup_for_meta_w_valid,
822        ClientMetadata.onReset
823      )
824    )
825  )
826
827  when (s2_fire_to_s3) { s3_valid_dup_for_meta_w_valid := true.B }
828  .elsewhen (s3_fire_dup_for_meta_w_valid) { s3_valid_dup_for_meta_w_valid := false.B }
829  // -------------------------------------------------------------------------------------
830
831  // ---------------- duplicate regs for err_write.valid to solve fanout -----------------
832  val s3_req_miss_dup_for_err_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
833  val s3_req_probe_dup_for_err_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
834  val s3_tag_match_dup_for_err_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
835  val s3_coh_dup_for_err_w_valid = RegEnable(s2_coh, s2_fire_to_s3)
836  val s3_req_probe_param_dup_for_err_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
837  val (_, _, probe_new_coh_dup_for_err_w_valid) = s3_coh_dup_for_err_w_valid.onProbe(s3_req_probe_param_dup_for_err_w_valid)
838  val s3_req_source_dup_for_err_w_valid = RegEnable(s2_req.source, s2_fire_to_s3)
839  val s3_req_cmd_dup_for_err_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
840  val s3_req_replace_dup_for_err_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
841  val s3_hit_coh_dup_for_err_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
842  val s3_new_hit_coh_dup_for_err_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
843
844  val miss_update_meta_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid
845  val probe_update_meta_dup_for_err_w_valid = s3_req_probe_dup_for_err_w_valid && s3_tag_match_dup_for_err_w_valid && s3_coh_dup_for_err_w_valid =/= probe_new_coh_dup_for_err_w_valid
846  val store_update_meta_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === STORE_SOURCE.U &&
847    !s3_req_probe_dup_for_err_w_valid &&
848    s3_hit_coh_dup_for_err_w_valid =/= s3_new_hit_coh_dup_for_err_w_valid
849  val amo_update_meta_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U &&
850    !s3_req_probe_dup_for_err_w_valid &&
851    s3_hit_coh_dup_for_err_w_valid =/= s3_new_hit_coh_dup_for_err_w_valid
852  val update_meta_dup_for_err_w_valid = (
853    miss_update_meta_dup_for_err_w_valid ||
854    probe_update_meta_dup_for_err_w_valid ||
855    store_update_meta_dup_for_err_w_valid ||
856    amo_update_meta_dup_for_err_w_valid
857  ) && !s3_req_replace_dup_for_err_w_valid
858
859  val s3_valid_dup_for_err_w_valid = RegInit(false.B)
860  val s3_amo_hit_dup_for_err_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
861  val s3_s_amoalu_dup_for_err_w_valid = RegInit(false.B)
862  val amo_wait_amoalu_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U &&
863    s3_req_cmd_dup_for_err_w_valid =/= M_XLR &&
864    s3_req_cmd_dup_for_err_w_valid =/= M_XSC
865  val do_amoalu_dup_for_err_w_valid = amo_wait_amoalu_dup_for_err_w_valid && s3_valid_dup_for_err_w_valid && !s3_s_amoalu_dup_for_err_w_valid
866
867  val s3_store_hit_dup_for_err_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
868  val s3_req_addr_dup_for_err_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
869  val s3_can_do_amo_dup_for_err_w_valid = (s3_req_miss_dup_for_err_w_valid && !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U) ||
870    s3_amo_hit_dup_for_err_w_valid
871
872  val s3_lr_dup_for_err_w_valid = !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_err_w_valid === M_XLR
873  val s3_sc_dup_for_err_w_valid = !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_err_w_valid === M_XSC
874  val lrsc_addr_dup_for_err_w_valid = Reg(UInt())
875  val lrsc_count_dup_for_err_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
876
877  when (s3_valid_dup_for_err_w_valid && (s3_lr_dup_for_err_w_valid || s3_sc_dup_for_err_w_valid)) {
878    when (s3_can_do_amo_dup_for_err_w_valid && s3_lr_dup_for_err_w_valid) {
879      lrsc_count_dup_for_err_w_valid := (LRSCCycles - 1).U
880      lrsc_addr_dup_for_err_w_valid := get_block_addr(s3_req_addr_dup_for_err_w_valid)
881    }.otherwise {
882      lrsc_count_dup_for_err_w_valid := 0.U
883    }
884  }.elsewhen (io.invalid_resv_set) {
885    lrsc_count_dup_for_err_w_valid := 0.U
886  }.elsewhen (lrsc_count_dup_for_err_w_valid > 0.U) {
887    lrsc_count_dup_for_err_w_valid := lrsc_count_dup_for_err_w_valid - 1.U
888  }
889
890  val lrsc_valid_dup_for_err_w_valid = lrsc_count_dup_for_err_w_valid > LRSCBackOff.U
891  val s3_lrsc_addr_match_dup_for_err_w_valid = lrsc_valid_dup_for_err_w_valid && lrsc_addr_dup_for_err_w_valid === get_block_addr(s3_req_addr_dup_for_err_w_valid)
892  val s3_sc_fail_dup_for_err_w_valid = s3_sc_dup_for_err_w_valid && !s3_lrsc_addr_match_dup_for_err_w_valid
893  val s3_can_do_amo_write_dup_for_err_w_valid = s3_can_do_amo_dup_for_err_w_valid && isWrite(s3_req_cmd_dup_for_err_w_valid) && !s3_sc_fail_dup_for_err_w_valid
894  val update_data_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid || s3_store_hit_dup_for_err_w_valid || s3_can_do_amo_write_dup_for_err_w_valid
895
896  val s3_probe_can_go_dup_for_err_w_valid = s3_req_probe_dup_for_err_w_valid &&
897    io.wb_ready_dup(errWritePort) &&
898    (io.meta_write.ready || !probe_update_meta_dup_for_err_w_valid)
899  val s3_store_can_go_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_err_w_valid &&
900    (io.meta_write.ready || !store_update_meta_dup_for_err_w_valid) &&
901    (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) && !s3_req_miss_dup_for_err_w_valid
902  val s3_amo_can_go_dup_for_err_w_valid = s3_amo_hit_dup_for_err_w_valid &&
903    (io.meta_write.ready || !amo_update_meta_dup_for_err_w_valid) &&
904    (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) &&
905    (s3_s_amoalu_dup_for_err_w_valid || !amo_wait_amoalu_dup_for_err_w_valid)
906  val s3_miss_can_go_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid &&
907    (io.meta_write.ready || !amo_update_meta_dup_for_err_w_valid) &&
908    (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) &&
909    (s3_s_amoalu_dup_for_err_w_valid || !amo_wait_amoalu_dup_for_err_w_valid) &&
910    io.tag_write_ready_dup(errWritePort) &&
911    io.wb_ready_dup(errWritePort)
912  val s3_replace_can_go_dup_for_err_w_valid = s3_req_replace_dup_for_err_w_valid &&
913    (s3_coh_dup_for_err_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(errWritePort))
914  val s3_can_go_dup_for_err_w_valid = s3_probe_can_go_dup_for_err_w_valid ||
915    s3_store_can_go_dup_for_err_w_valid ||
916    s3_amo_can_go_dup_for_err_w_valid ||
917    s3_miss_can_go_dup_for_err_w_valid ||
918    s3_replace_can_go_dup_for_err_w_valid
919
920  val s3_fire_dup_for_err_w_valid = s3_valid_dup_for_err_w_valid && s3_can_go_dup_for_err_w_valid
921  when (do_amoalu_dup_for_err_w_valid) { s3_s_amoalu_dup_for_err_w_valid := true.B }
922  when (s3_fire_dup_for_err_w_valid) { s3_s_amoalu_dup_for_err_w_valid := false.B }
923
924  when (s2_fire_to_s3) { s3_valid_dup_for_err_w_valid := true.B }
925  .elsewhen (s3_fire_dup_for_err_w_valid) { s3_valid_dup_for_err_w_valid := false.B }
926  // -------------------------------------------------------------------------------------
927  // ---------------- duplicate regs for tag_write.valid to solve fanout -----------------
928  val s3_req_miss_dup_for_tag_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
929  val s3_req_probe_dup_for_tag_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
930  val s3_tag_match_dup_for_tag_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
931  val s3_coh_dup_for_tag_w_valid = RegEnable(s2_coh, s2_fire_to_s3)
932  val s3_req_probe_param_dup_for_tag_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
933  val (_, _, probe_new_coh_dup_for_tag_w_valid) = s3_coh_dup_for_tag_w_valid.onProbe(s3_req_probe_param_dup_for_tag_w_valid)
934  val s3_req_source_dup_for_tag_w_valid = RegEnable(s2_req.source, s2_fire_to_s3)
935  val s3_req_cmd_dup_for_tag_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
936  val s3_req_replace_dup_for_tag_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
937  val s3_hit_coh_dup_for_tag_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
938  val s3_new_hit_coh_dup_for_tag_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
939
940  val miss_update_meta_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid
941  val probe_update_meta_dup_for_tag_w_valid = s3_req_probe_dup_for_tag_w_valid && s3_tag_match_dup_for_tag_w_valid && s3_coh_dup_for_tag_w_valid =/= probe_new_coh_dup_for_tag_w_valid
942  val store_update_meta_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === STORE_SOURCE.U &&
943    !s3_req_probe_dup_for_tag_w_valid &&
944    s3_hit_coh_dup_for_tag_w_valid =/= s3_new_hit_coh_dup_for_tag_w_valid
945  val amo_update_meta_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U &&
946    !s3_req_probe_dup_for_tag_w_valid &&
947    s3_hit_coh_dup_for_tag_w_valid =/= s3_new_hit_coh_dup_for_tag_w_valid
948  val update_meta_dup_for_tag_w_valid = (
949    miss_update_meta_dup_for_tag_w_valid ||
950    probe_update_meta_dup_for_tag_w_valid ||
951    store_update_meta_dup_for_tag_w_valid ||
952    amo_update_meta_dup_for_tag_w_valid
953  ) && !s3_req_replace_dup_for_tag_w_valid
954
955  val s3_valid_dup_for_tag_w_valid = RegInit(false.B)
956  val s3_amo_hit_dup_for_tag_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
957  val s3_s_amoalu_dup_for_tag_w_valid = RegInit(false.B)
958  val amo_wait_amoalu_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U &&
959    s3_req_cmd_dup_for_tag_w_valid =/= M_XLR &&
960    s3_req_cmd_dup_for_tag_w_valid =/= M_XSC
961  val do_amoalu_dup_for_tag_w_valid = amo_wait_amoalu_dup_for_tag_w_valid && s3_valid_dup_for_tag_w_valid && !s3_s_amoalu_dup_for_tag_w_valid
962
963  val s3_store_hit_dup_for_tag_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
964  val s3_req_addr_dup_for_tag_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
965  val s3_can_do_amo_dup_for_tag_w_valid = (s3_req_miss_dup_for_tag_w_valid && !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U) ||
966    s3_amo_hit_dup_for_tag_w_valid
967
968  val s3_lr_dup_for_tag_w_valid = !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_tag_w_valid === M_XLR
969  val s3_sc_dup_for_tag_w_valid = !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_tag_w_valid === M_XSC
970  val lrsc_addr_dup_for_tag_w_valid = Reg(UInt())
971  val lrsc_count_dup_for_tag_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
972
973  when (s3_valid_dup_for_tag_w_valid && (s3_lr_dup_for_tag_w_valid || s3_sc_dup_for_tag_w_valid)) {
974    when (s3_can_do_amo_dup_for_tag_w_valid && s3_lr_dup_for_tag_w_valid) {
975      lrsc_count_dup_for_tag_w_valid := (LRSCCycles - 1).U
976      lrsc_addr_dup_for_tag_w_valid := get_block_addr(s3_req_addr_dup_for_tag_w_valid)
977    }.otherwise {
978      lrsc_count_dup_for_tag_w_valid := 0.U
979    }
980  }.elsewhen (io.invalid_resv_set) {
981    lrsc_count_dup_for_tag_w_valid := 0.U
982  }.elsewhen (lrsc_count_dup_for_tag_w_valid > 0.U) {
983    lrsc_count_dup_for_tag_w_valid := lrsc_count_dup_for_tag_w_valid - 1.U
984  }
985
986  val lrsc_valid_dup_for_tag_w_valid = lrsc_count_dup_for_tag_w_valid > LRSCBackOff.U
987  val s3_lrsc_addr_match_dup_for_tag_w_valid = lrsc_valid_dup_for_tag_w_valid && lrsc_addr_dup_for_tag_w_valid === get_block_addr(s3_req_addr_dup_for_tag_w_valid)
988  val s3_sc_fail_dup_for_tag_w_valid = s3_sc_dup_for_tag_w_valid && !s3_lrsc_addr_match_dup_for_tag_w_valid
989  val s3_can_do_amo_write_dup_for_tag_w_valid = s3_can_do_amo_dup_for_tag_w_valid && isWrite(s3_req_cmd_dup_for_tag_w_valid) && !s3_sc_fail_dup_for_tag_w_valid
990  val update_data_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid || s3_store_hit_dup_for_tag_w_valid || s3_can_do_amo_write_dup_for_tag_w_valid
991
992  val s3_probe_can_go_dup_for_tag_w_valid = s3_req_probe_dup_for_tag_w_valid &&
993    io.wb_ready_dup(tagWritePort) &&
994    (io.meta_write.ready || !probe_update_meta_dup_for_tag_w_valid)
995  val s3_store_can_go_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_tag_w_valid &&
996    (io.meta_write.ready || !store_update_meta_dup_for_tag_w_valid) &&
997    (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) && !s3_req_miss_dup_for_tag_w_valid
998  val s3_amo_can_go_dup_for_tag_w_valid = s3_amo_hit_dup_for_tag_w_valid &&
999    (io.meta_write.ready || !amo_update_meta_dup_for_tag_w_valid) &&
1000    (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) &&
1001    (s3_s_amoalu_dup_for_tag_w_valid || !amo_wait_amoalu_dup_for_tag_w_valid)
1002  val s3_miss_can_go_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid &&
1003    (io.meta_write.ready || !amo_update_meta_dup_for_tag_w_valid) &&
1004    (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) &&
1005    (s3_s_amoalu_dup_for_tag_w_valid || !amo_wait_amoalu_dup_for_tag_w_valid) &&
1006    io.tag_write_ready_dup(tagWritePort) &&
1007    io.wb_ready_dup(tagWritePort)
1008  val s3_replace_can_go_dup_for_tag_w_valid = s3_req_replace_dup_for_tag_w_valid &&
1009    (s3_coh_dup_for_tag_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(tagWritePort))
1010  val s3_can_go_dup_for_tag_w_valid = s3_probe_can_go_dup_for_tag_w_valid ||
1011    s3_store_can_go_dup_for_tag_w_valid ||
1012    s3_amo_can_go_dup_for_tag_w_valid ||
1013    s3_miss_can_go_dup_for_tag_w_valid ||
1014    s3_replace_can_go_dup_for_tag_w_valid
1015
1016  val s3_fire_dup_for_tag_w_valid = s3_valid_dup_for_tag_w_valid && s3_can_go_dup_for_tag_w_valid
1017  when (do_amoalu_dup_for_tag_w_valid) { s3_s_amoalu_dup_for_tag_w_valid := true.B }
1018  when (s3_fire_dup_for_tag_w_valid) { s3_s_amoalu_dup_for_tag_w_valid := false.B }
1019
1020  when (s2_fire_to_s3) { s3_valid_dup_for_tag_w_valid := true.B }
1021  .elsewhen (s3_fire_dup_for_tag_w_valid) { s3_valid_dup_for_tag_w_valid := false.B }
1022  // -------------------------------------------------------------------------------------
1023  // ---------------- duplicate regs for data_write.valid to solve fanout ----------------
1024  val s3_req_miss_dup_for_data_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
1025  val s3_req_probe_dup_for_data_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
1026  val s3_tag_match_dup_for_data_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
1027  val s3_coh_dup_for_data_w_valid = RegEnable(s2_coh, s2_fire_to_s3)
1028  val s3_req_probe_param_dup_for_data_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
1029  val (_, _, probe_new_coh_dup_for_data_w_valid) = s3_coh_dup_for_data_w_valid.onProbe(s3_req_probe_param_dup_for_data_w_valid)
1030  val s3_req_source_dup_for_data_w_valid = RegEnable(s2_req.source, s2_fire_to_s3)
1031  val s3_req_cmd_dup_for_data_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
1032  val s3_req_replace_dup_for_data_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
1033  val s3_hit_coh_dup_for_data_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
1034  val s3_new_hit_coh_dup_for_data_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
1035
1036  val miss_update_meta_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid
1037  val probe_update_meta_dup_for_data_w_valid = s3_req_probe_dup_for_data_w_valid && s3_tag_match_dup_for_data_w_valid && s3_coh_dup_for_data_w_valid =/= probe_new_coh_dup_for_data_w_valid
1038  val store_update_meta_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === STORE_SOURCE.U &&
1039    !s3_req_probe_dup_for_data_w_valid &&
1040    s3_hit_coh_dup_for_data_w_valid =/= s3_new_hit_coh_dup_for_data_w_valid
1041  val amo_update_meta_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U &&
1042    !s3_req_probe_dup_for_data_w_valid &&
1043    s3_hit_coh_dup_for_data_w_valid =/= s3_new_hit_coh_dup_for_data_w_valid
1044  val update_meta_dup_for_data_w_valid = (
1045    miss_update_meta_dup_for_data_w_valid ||
1046    probe_update_meta_dup_for_data_w_valid ||
1047    store_update_meta_dup_for_data_w_valid ||
1048    amo_update_meta_dup_for_data_w_valid
1049  ) && !s3_req_replace_dup_for_data_w_valid
1050
1051  val s3_valid_dup_for_data_w_valid = RegInit(false.B)
1052  val s3_amo_hit_dup_for_data_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
1053  val s3_s_amoalu_dup_for_data_w_valid = RegInit(false.B)
1054  val amo_wait_amoalu_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U &&
1055    s3_req_cmd_dup_for_data_w_valid =/= M_XLR &&
1056    s3_req_cmd_dup_for_data_w_valid =/= M_XSC
1057  val do_amoalu_dup_for_data_w_valid = amo_wait_amoalu_dup_for_data_w_valid && s3_valid_dup_for_data_w_valid && !s3_s_amoalu_dup_for_data_w_valid
1058
1059  val s3_store_hit_dup_for_data_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
1060  val s3_req_addr_dup_for_data_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
1061  val s3_can_do_amo_dup_for_data_w_valid = (s3_req_miss_dup_for_data_w_valid && !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U) ||
1062    s3_amo_hit_dup_for_data_w_valid
1063
1064  val s3_lr_dup_for_data_w_valid = !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_valid === M_XLR
1065  val s3_sc_dup_for_data_w_valid = !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_valid === M_XSC
1066  val lrsc_addr_dup_for_data_w_valid = Reg(UInt())
1067  val lrsc_count_dup_for_data_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
1068
1069  when (s3_valid_dup_for_data_w_valid && (s3_lr_dup_for_data_w_valid || s3_sc_dup_for_data_w_valid)) {
1070    when (s3_can_do_amo_dup_for_data_w_valid && s3_lr_dup_for_data_w_valid) {
1071      lrsc_count_dup_for_data_w_valid := (LRSCCycles - 1).U
1072      lrsc_addr_dup_for_data_w_valid := get_block_addr(s3_req_addr_dup_for_data_w_valid)
1073    }.otherwise {
1074      lrsc_count_dup_for_data_w_valid := 0.U
1075    }
1076  }.elsewhen (io.invalid_resv_set) {
1077    lrsc_count_dup_for_data_w_valid := 0.U
1078  }.elsewhen (lrsc_count_dup_for_data_w_valid > 0.U) {
1079    lrsc_count_dup_for_data_w_valid := lrsc_count_dup_for_data_w_valid - 1.U
1080  }
1081
1082  val lrsc_valid_dup_for_data_w_valid = lrsc_count_dup_for_data_w_valid > LRSCBackOff.U
1083  val s3_lrsc_addr_match_dup_for_data_w_valid = lrsc_valid_dup_for_data_w_valid && lrsc_addr_dup_for_data_w_valid === get_block_addr(s3_req_addr_dup_for_data_w_valid)
1084  val s3_sc_fail_dup_for_data_w_valid = s3_sc_dup_for_data_w_valid && !s3_lrsc_addr_match_dup_for_data_w_valid
1085  val s3_can_do_amo_write_dup_for_data_w_valid = s3_can_do_amo_dup_for_data_w_valid && isWrite(s3_req_cmd_dup_for_data_w_valid) && !s3_sc_fail_dup_for_data_w_valid
1086  val update_data_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid || s3_store_hit_dup_for_data_w_valid || s3_can_do_amo_write_dup_for_data_w_valid
1087
1088  val s3_probe_can_go_dup_for_data_w_valid = s3_req_probe_dup_for_data_w_valid &&
1089    io.wb_ready_dup(dataWritePort) &&
1090    (io.meta_write.ready || !probe_update_meta_dup_for_data_w_valid)
1091  val s3_store_can_go_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_data_w_valid &&
1092    (io.meta_write.ready || !store_update_meta_dup_for_data_w_valid) &&
1093    (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) && !s3_req_miss_dup_for_data_w_valid
1094  val s3_amo_can_go_dup_for_data_w_valid = s3_amo_hit_dup_for_data_w_valid &&
1095    (io.meta_write.ready || !amo_update_meta_dup_for_data_w_valid) &&
1096    (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) &&
1097    (s3_s_amoalu_dup_for_data_w_valid || !amo_wait_amoalu_dup_for_data_w_valid)
1098  val s3_miss_can_go_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid &&
1099    (io.meta_write.ready || !amo_update_meta_dup_for_data_w_valid) &&
1100    (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) &&
1101    (s3_s_amoalu_dup_for_data_w_valid || !amo_wait_amoalu_dup_for_data_w_valid) &&
1102    io.tag_write_ready_dup(dataWritePort) &&
1103    io.wb_ready_dup(dataWritePort)
1104  val s3_replace_can_go_dup_for_data_w_valid = s3_req_replace_dup_for_data_w_valid &&
1105    (s3_coh_dup_for_data_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(dataWritePort))
1106  val s3_can_go_dup_for_data_w_valid = s3_probe_can_go_dup_for_data_w_valid ||
1107    s3_store_can_go_dup_for_data_w_valid ||
1108    s3_amo_can_go_dup_for_data_w_valid ||
1109    s3_miss_can_go_dup_for_data_w_valid ||
1110    s3_replace_can_go_dup_for_data_w_valid
1111  val s3_update_data_cango_dup_for_data_w_valid = s3_store_can_go_dup_for_data_w_valid || s3_amo_can_go_dup_for_data_w_valid || s3_miss_can_go_dup_for_data_w_valid
1112
1113  val s3_fire_dup_for_data_w_valid = s3_valid_dup_for_data_w_valid && s3_can_go_dup_for_data_w_valid
1114  when (do_amoalu_dup_for_data_w_valid) { s3_s_amoalu_dup_for_data_w_valid := true.B }
1115  when (s3_fire_dup_for_data_w_valid) { s3_s_amoalu_dup_for_data_w_valid := false.B }
1116
1117  val s3_banked_store_wmask_dup_for_data_w_valid = RegEnable(s2_banked_store_wmask, s2_fire_to_s3)
1118  val s3_req_word_idx_dup_for_data_w_valid = RegEnable(s2_req.word_idx, s2_fire_to_s3)
1119  val banked_wmask = Mux(
1120    s3_req_miss_dup_for_data_w_valid,
1121    banked_full_wmask,
1122    Mux(
1123      s3_store_hit_dup_for_data_w_valid,
1124      s3_banked_store_wmask_dup_for_data_w_valid,
1125      Mux(
1126        s3_can_do_amo_write_dup_for_data_w_valid,
1127        UIntToOH(s3_req_word_idx_dup_for_data_w_valid),
1128        banked_none_wmask
1129      )
1130    )
1131  )
1132  assert(!(s3_valid && banked_wmask.orR && !update_data))
1133
1134  val s3_sc_data_merged_dup_for_data_w_valid = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
1135  val s3_req_amo_data_dup_for_data_w_valid = RegEnable(s2_req.amo_data, s2_fire_to_s3)
1136  val s3_req_amo_mask_dup_for_data_w_valid = RegEnable(s2_req.amo_mask, s2_fire_to_s3)
1137  for (i <- 0 until DCacheBanks) {
1138    val old_data = s3_store_data_merged(i)
1139    s3_sc_data_merged_dup_for_data_w_valid(i) := mergePutData(old_data, s3_req_amo_data_dup_for_data_w_valid,
1140      Mux(
1141        s3_req_word_idx_dup_for_data_w_valid === i.U && !s3_sc_fail_dup_for_data_w_valid,
1142        s3_req_amo_mask_dup_for_data_w_valid,
1143        0.U(wordBytes.W)
1144      )
1145    )
1146  }
1147
1148  when (s2_fire_to_s3) { s3_valid_dup_for_data_w_valid := true.B }
1149  .elsewhen (s3_fire_dup_for_data_w_valid) { s3_valid_dup_for_data_w_valid := false.B }
1150
1151  val s3_valid_dup_for_data_w_bank = RegInit(VecInit(Seq.fill(DCacheBanks)(false.B))) // TODO
1152  val data_write_ready_dup_for_data_w_bank = io.data_write_ready_dup.drop(dataWritePort).take(DCacheBanks)
1153  val tag_write_ready_dup_for_data_w_bank = io.tag_write_ready_dup.drop(dataWritePort).take(DCacheBanks)
1154  val wb_ready_dup_for_data_w_bank = io.wb_ready_dup.drop(dataWritePort).take(DCacheBanks)
1155  for (i <- 0 until DCacheBanks) {
1156    val s3_req_miss_dup_for_data_w_bank = RegEnable(s2_req.miss, s2_fire_to_s3)
1157    val s3_req_probe_dup_for_data_w_bank = RegEnable(s2_req.probe, s2_fire_to_s3)
1158    val s3_tag_match_dup_for_data_w_bank = RegEnable(s2_tag_match, s2_fire_to_s3)
1159    val s3_coh_dup_for_data_w_bank = RegEnable(s2_coh, s2_fire_to_s3)
1160    val s3_req_probe_param_dup_for_data_w_bank = RegEnable(s2_req.probe_param, s2_fire_to_s3)
1161    val (_, _, probe_new_coh_dup_for_data_w_bank) = s3_coh_dup_for_data_w_bank.onProbe(s3_req_probe_param_dup_for_data_w_bank)
1162    val s3_req_source_dup_for_data_w_bank = RegEnable(s2_req.source, s2_fire_to_s3)
1163    val s3_req_cmd_dup_for_data_w_bank = RegEnable(s2_req.cmd, s2_fire_to_s3)
1164    val s3_req_replace_dup_for_data_w_bank = RegEnable(s2_req.replace, s2_fire_to_s3)
1165    val s3_hit_coh_dup_for_data_w_bank = RegEnable(s2_hit_coh, s2_fire_to_s3)
1166    val s3_new_hit_coh_dup_for_data_w_bank = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
1167
1168    val miss_update_meta_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank
1169    val probe_update_meta_dup_for_data_w_bank = s3_req_probe_dup_for_data_w_bank && s3_tag_match_dup_for_data_w_bank && s3_coh_dup_for_data_w_bank =/= probe_new_coh_dup_for_data_w_bank
1170    val store_update_meta_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === STORE_SOURCE.U &&
1171      !s3_req_probe_dup_for_data_w_bank &&
1172      s3_hit_coh_dup_for_data_w_bank =/= s3_new_hit_coh_dup_for_data_w_bank
1173    val amo_update_meta_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U &&
1174      !s3_req_probe_dup_for_data_w_bank &&
1175      s3_hit_coh_dup_for_data_w_bank =/= s3_new_hit_coh_dup_for_data_w_bank
1176    val update_meta_dup_for_data_w_bank = (
1177      miss_update_meta_dup_for_data_w_bank ||
1178      probe_update_meta_dup_for_data_w_bank ||
1179      store_update_meta_dup_for_data_w_bank ||
1180      amo_update_meta_dup_for_data_w_bank
1181    ) && !s3_req_replace_dup_for_data_w_bank
1182
1183    val s3_amo_hit_dup_for_data_w_bank = RegEnable(s2_amo_hit, s2_fire_to_s3)
1184    val s3_s_amoalu_dup_for_data_w_bank = RegInit(false.B)
1185    val amo_wait_amoalu_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U &&
1186      s3_req_cmd_dup_for_data_w_bank =/= M_XLR &&
1187      s3_req_cmd_dup_for_data_w_bank =/= M_XSC
1188    val do_amoalu_dup_for_data_w_bank = amo_wait_amoalu_dup_for_data_w_bank && s3_valid_dup_for_data_w_bank(i) && !s3_s_amoalu_dup_for_data_w_bank
1189
1190    val s3_store_hit_dup_for_data_w_bank = RegEnable(s2_store_hit, s2_fire_to_s3)
1191    val s3_req_addr_dup_for_data_w_bank = RegEnable(s2_req.addr, s2_fire_to_s3)
1192    val s3_can_do_amo_dup_for_data_w_bank = (s3_req_miss_dup_for_data_w_bank && !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U) ||
1193      s3_amo_hit_dup_for_data_w_bank
1194
1195    val s3_lr_dup_for_data_w_bank = !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_bank === M_XLR
1196    val s3_sc_dup_for_data_w_bank = !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_bank === M_XSC
1197    val lrsc_addr_dup_for_data_w_bank = Reg(UInt())
1198    val lrsc_count_dup_for_data_w_bank = RegInit(0.U(log2Ceil(LRSCCycles).W))
1199
1200    when (s3_valid_dup_for_data_w_bank(i) && (s3_lr_dup_for_data_w_bank || s3_sc_dup_for_data_w_bank)) {
1201      when (s3_can_do_amo_dup_for_data_w_bank && s3_lr_dup_for_data_w_bank) {
1202        lrsc_count_dup_for_data_w_bank := (LRSCCycles - 1).U
1203        lrsc_addr_dup_for_data_w_bank := get_block_addr(s3_req_addr_dup_for_data_w_bank)
1204      }.otherwise {
1205        lrsc_count_dup_for_data_w_bank := 0.U
1206      }
1207    }.elsewhen (io.invalid_resv_set) {
1208      lrsc_count_dup_for_data_w_bank := 0.U
1209    }.elsewhen (lrsc_count_dup_for_data_w_bank > 0.U) {
1210      lrsc_count_dup_for_data_w_bank := lrsc_count_dup_for_data_w_bank - 1.U
1211    }
1212
1213    val lrsc_valid_dup_for_data_w_bank = lrsc_count_dup_for_data_w_bank > LRSCBackOff.U
1214    val s3_lrsc_addr_match_dup_for_data_w_bank = lrsc_valid_dup_for_data_w_bank && lrsc_addr_dup_for_data_w_bank === get_block_addr(s3_req_addr_dup_for_data_w_bank)
1215    val s3_sc_fail_dup_for_data_w_bank = s3_sc_dup_for_data_w_bank && !s3_lrsc_addr_match_dup_for_data_w_bank
1216    val s3_can_do_amo_write_dup_for_data_w_bank = s3_can_do_amo_dup_for_data_w_bank && isWrite(s3_req_cmd_dup_for_data_w_bank) && !s3_sc_fail_dup_for_data_w_bank
1217    val update_data_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank || s3_store_hit_dup_for_data_w_bank || s3_can_do_amo_write_dup_for_data_w_bank
1218
1219    val s3_probe_can_go_dup_for_data_w_bank = s3_req_probe_dup_for_data_w_bank &&
1220      wb_ready_dup_for_data_w_bank(i) &&
1221      (io.meta_write.ready || !probe_update_meta_dup_for_data_w_bank)
1222    val s3_store_can_go_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === STORE_SOURCE.U && !s3_req_probe_dup_for_data_w_bank &&
1223      (io.meta_write.ready || !store_update_meta_dup_for_data_w_bank) &&
1224      (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) && !s3_req_miss_dup_for_data_w_bank
1225    val s3_amo_can_go_dup_for_data_w_bank = s3_amo_hit_dup_for_data_w_bank &&
1226      (io.meta_write.ready || !amo_update_meta_dup_for_data_w_bank) &&
1227      (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) &&
1228      (s3_s_amoalu_dup_for_data_w_bank || !amo_wait_amoalu_dup_for_data_w_bank)
1229    val s3_miss_can_go_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank &&
1230      (io.meta_write.ready || !amo_update_meta_dup_for_data_w_bank) &&
1231      (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) &&
1232      (s3_s_amoalu_dup_for_data_w_bank || !amo_wait_amoalu_dup_for_data_w_bank) &&
1233      tag_write_ready_dup_for_data_w_bank(i) &&
1234      wb_ready_dup_for_data_w_bank(i)
1235      wb_ready_dup_for_data_w_bank(i)
1236    val s3_replace_can_go_dup_for_data_w_bank = s3_req_replace_dup_for_data_w_bank &&
1237      (s3_coh_dup_for_data_w_bank.state === ClientStates.Nothing || wb_ready_dup_for_data_w_bank(i))
1238    val s3_can_go_dup_for_data_w_bank = s3_probe_can_go_dup_for_data_w_bank ||
1239      s3_store_can_go_dup_for_data_w_bank ||
1240      s3_amo_can_go_dup_for_data_w_bank ||
1241      s3_miss_can_go_dup_for_data_w_bank ||
1242      s3_replace_can_go_dup_for_data_w_bank
1243    val s3_update_data_cango_dup_for_data_w_bank = s3_store_can_go_dup_for_data_w_bank || s3_amo_can_go_dup_for_data_w_bank || s3_miss_can_go_dup_for_data_w_bank
1244
1245    val s3_fire_dup_for_data_w_bank = s3_valid_dup_for_data_w_bank(i) && s3_can_go_dup_for_data_w_bank
1246
1247    when (do_amoalu_dup_for_data_w_bank) { s3_s_amoalu_dup_for_data_w_bank := true.B }
1248    when (s3_fire_dup_for_data_w_bank) { s3_s_amoalu_dup_for_data_w_bank := false.B }
1249
1250    when (s2_fire_to_s3) { s3_valid_dup_for_data_w_bank(i) := true.B }
1251    .elsewhen (s3_fire_dup_for_data_w_bank) { s3_valid_dup_for_data_w_bank(i) := false.B }
1252
1253    io.data_write_dup(i).valid := s3_valid_dup_for_data_w_bank(i) && s3_update_data_cango_dup_for_data_w_bank && update_data_dup_for_data_w_bank
1254    io.data_write_dup(i).bits.way_en := RegEnable(s2_way_en, s2_fire_to_s3)
1255    io.data_write_dup(i).bits.addr := RegEnable(s2_req.vaddr, s2_fire_to_s3)
1256  }
1257  // -------------------------------------------------------------------------------------
1258
1259  // ---------------- duplicate regs for wb.valid to solve fanout ----------------
1260  val s3_req_miss_dup_for_wb_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
1261  val s3_req_probe_dup_for_wb_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
1262  val s3_tag_match_dup_for_wb_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
1263  val s3_coh_dup_for_wb_valid = RegEnable(s2_coh, s2_fire_to_s3)
1264  val s3_req_probe_param_dup_for_wb_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
1265  val (_, _, probe_new_coh_dup_for_wb_valid) = s3_coh_dup_for_wb_valid.onProbe(s3_req_probe_param_dup_for_wb_valid)
1266  val s3_req_source_dup_for_wb_valid = RegEnable(s2_req.source, s2_fire_to_s3)
1267  val s3_req_cmd_dup_for_wb_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
1268  val s3_req_replace_dup_for_wb_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
1269  val s3_hit_coh_dup_for_wb_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
1270  val s3_new_hit_coh_dup_for_wb_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
1271
1272  val miss_update_meta_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid
1273  val probe_update_meta_dup_for_wb_valid = s3_req_probe_dup_for_wb_valid && s3_tag_match_dup_for_wb_valid && s3_coh_dup_for_wb_valid =/= probe_new_coh_dup_for_wb_valid
1274  val store_update_meta_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === STORE_SOURCE.U &&
1275    !s3_req_probe_dup_for_wb_valid &&
1276    s3_hit_coh_dup_for_wb_valid =/= s3_new_hit_coh_dup_for_wb_valid
1277  val amo_update_meta_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === AMO_SOURCE.U &&
1278    !s3_req_probe_dup_for_wb_valid &&
1279    s3_hit_coh_dup_for_wb_valid =/= s3_new_hit_coh_dup_for_wb_valid
1280  val update_meta_dup_for_wb_valid = (
1281    miss_update_meta_dup_for_wb_valid ||
1282    probe_update_meta_dup_for_wb_valid ||
1283    store_update_meta_dup_for_wb_valid ||
1284    amo_update_meta_dup_for_wb_valid
1285  ) && !s3_req_replace_dup_for_wb_valid
1286
1287  val s3_valid_dup_for_wb_valid = RegInit(false.B)
1288  val s3_amo_hit_dup_for_wb_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
1289  val s3_s_amoalu_dup_for_wb_valid = RegInit(false.B)
1290  val amo_wait_amoalu_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === AMO_SOURCE.U &&
1291    s3_req_cmd_dup_for_wb_valid =/= M_XLR &&
1292    s3_req_cmd_dup_for_wb_valid =/= M_XSC
1293  val do_amoalu_dup_for_wb_valid = amo_wait_amoalu_dup_for_wb_valid && s3_valid_dup_for_wb_valid && !s3_s_amoalu_dup_for_wb_valid
1294
1295  val s3_store_hit_dup_for_wb_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
1296  val s3_req_addr_dup_for_wb_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
1297  val s3_can_do_amo_dup_for_wb_valid = (s3_req_miss_dup_for_wb_valid && !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U) ||
1298    s3_amo_hit_dup_for_wb_valid
1299
1300  val s3_lr_dup_for_wb_valid = !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_wb_valid === M_XLR
1301  val s3_sc_dup_for_wb_valid = !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_wb_valid === M_XSC
1302  val lrsc_addr_dup_for_wb_valid = Reg(UInt())
1303  val lrsc_count_dup_for_wb_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
1304
1305  when (s3_valid_dup_for_wb_valid && (s3_lr_dup_for_wb_valid || s3_sc_dup_for_wb_valid)) {
1306    when (s3_can_do_amo_dup_for_wb_valid && s3_lr_dup_for_wb_valid) {
1307      lrsc_count_dup_for_wb_valid := (LRSCCycles - 1).U
1308      lrsc_addr_dup_for_wb_valid := get_block_addr(s3_req_addr_dup_for_wb_valid)
1309    }.otherwise {
1310      lrsc_count_dup_for_wb_valid := 0.U
1311    }
1312  }.elsewhen (io.invalid_resv_set) {
1313    lrsc_count_dup_for_wb_valid := 0.U
1314  }.elsewhen (lrsc_count_dup_for_wb_valid > 0.U) {
1315    lrsc_count_dup_for_wb_valid := lrsc_count_dup_for_wb_valid - 1.U
1316  }
1317
1318  val lrsc_valid_dup_for_wb_valid = lrsc_count_dup_for_wb_valid > LRSCBackOff.U
1319  val s3_lrsc_addr_match_dup_for_wb_valid = lrsc_valid_dup_for_wb_valid && lrsc_addr_dup_for_wb_valid === get_block_addr(s3_req_addr_dup_for_wb_valid)
1320  val s3_sc_fail_dup_for_wb_valid = s3_sc_dup_for_wb_valid && !s3_lrsc_addr_match_dup_for_wb_valid
1321  val s3_can_do_amo_write_dup_for_wb_valid = s3_can_do_amo_dup_for_wb_valid && isWrite(s3_req_cmd_dup_for_wb_valid) && !s3_sc_fail_dup_for_wb_valid
1322  val update_data_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid || s3_store_hit_dup_for_wb_valid || s3_can_do_amo_write_dup_for_wb_valid
1323
1324  val s3_probe_can_go_dup_for_wb_valid = s3_req_probe_dup_for_wb_valid &&
1325    io.wb_ready_dup(wbPort) &&
1326    (io.meta_write.ready || !probe_update_meta_dup_for_wb_valid)
1327  val s3_store_can_go_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_wb_valid &&
1328    (io.meta_write.ready || !store_update_meta_dup_for_wb_valid) &&
1329    (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) && !s3_req_miss_dup_for_wb_valid
1330  val s3_amo_can_go_dup_for_wb_valid = s3_amo_hit_dup_for_wb_valid &&
1331    (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) &&
1332    (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) &&
1333    (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid)
1334  val s3_miss_can_go_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid &&
1335    (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) &&
1336    (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) &&
1337    (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) &&
1338    io.tag_write_ready_dup(wbPort) &&
1339    io.wb_ready_dup(wbPort)
1340  val s3_replace_can_go_dup_for_wb_valid = s3_req_replace_dup_for_wb_valid &&
1341    (s3_coh_dup_for_wb_valid.state === ClientStates.Nothing || io.wb_ready_dup(wbPort))
1342  val s3_can_go_dup_for_wb_valid = s3_probe_can_go_dup_for_wb_valid ||
1343    s3_store_can_go_dup_for_wb_valid ||
1344    s3_amo_can_go_dup_for_wb_valid ||
1345    s3_miss_can_go_dup_for_wb_valid ||
1346    s3_replace_can_go_dup_for_wb_valid
1347  val s3_update_data_cango_dup_for_wb_valid = s3_store_can_go_dup_for_wb_valid || s3_amo_can_go_dup_for_wb_valid || s3_miss_can_go_dup_for_wb_valid
1348
1349  val s3_fire_dup_for_wb_valid = s3_valid_dup_for_wb_valid && s3_can_go_dup_for_wb_valid
1350  when (do_amoalu_dup_for_wb_valid) { s3_s_amoalu_dup_for_wb_valid := true.B }
1351  when (s3_fire_dup_for_wb_valid) { s3_s_amoalu_dup_for_wb_valid := false.B }
1352
1353  val s3_banked_store_wmask_dup_for_wb_valid = RegEnable(s2_banked_store_wmask, s2_fire_to_s3)
1354  val s3_req_word_idx_dup_for_wb_valid = RegEnable(s2_req.word_idx, s2_fire_to_s3)
1355  val s3_replace_nothing_dup_for_wb_valid = s3_req_replace_dup_for_wb_valid && s3_coh_dup_for_wb_valid.state === ClientStates.Nothing
1356
1357  val s3_sc_data_merged_dup_for_wb_valid = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
1358  val s3_req_amo_data_dup_for_wb_valid = RegEnable(s2_req.amo_data, s2_fire_to_s3)
1359  val s3_req_amo_mask_dup_for_wb_valid = RegEnable(s2_req.amo_mask, s2_fire_to_s3)
1360  for (i <- 0 until DCacheBanks) {
1361    val old_data = s3_store_data_merged(i)
1362    s3_sc_data_merged_dup_for_wb_valid(i) := mergePutData(old_data, s3_req_amo_data_dup_for_wb_valid,
1363      Mux(
1364        s3_req_word_idx_dup_for_wb_valid === i.U && !s3_sc_fail_dup_for_wb_valid,
1365        s3_req_amo_mask_dup_for_wb_valid,
1366        0.U(wordBytes.W)
1367      )
1368    )
1369  }
1370
1371  val s3_need_replacement_dup_for_wb_valid = RegEnable(s2_need_replacement, s2_fire_to_s3)
1372  val miss_wb_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid && s3_need_replacement_dup_for_wb_valid &&
1373    s3_coh_dup_for_wb_valid.state =/= ClientStates.Nothing
1374  val need_wb_dup_for_wb_valid = miss_wb_dup_for_wb_valid || s3_req_probe_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid
1375
1376  val s3_tag_dup_for_wb_valid = RegEnable(s2_tag, s2_fire_to_s3)
1377
1378  val (_, probe_shrink_param_dup_for_wb_valid, _) = s3_coh_dup_for_wb_valid.onProbe(s3_req_probe_param_dup_for_wb_valid)
1379  val (_, miss_shrink_param_dup_for_wb_valid, _) = s3_coh_dup_for_wb_valid.onCacheControl(M_FLUSH)
1380  val writeback_param_dup_for_wb_valid = Mux(
1381    s3_req_probe_dup_for_wb_valid,
1382    probe_shrink_param_dup_for_wb_valid,
1383    miss_shrink_param_dup_for_wb_valid
1384  )
1385  val writeback_data_dup_for_wb_valid = if (dcacheParameters.alwaysReleaseData) {
1386    s3_tag_match_dup_for_wb_valid && s3_req_probe_dup_for_wb_valid && RegEnable(s2_req.probe_need_data, s2_fire_to_s3) ||
1387      s3_coh_dup_for_wb_valid === ClientStates.Dirty || (miss_wb_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid) && s3_coh_dup_for_wb_valid.state =/= ClientStates.Nothing
1388  } else {
1389    s3_tag_match_dup_for_wb_valid && s3_req_probe_dup_for_wb_valid && RegEnable(s2_req.probe_need_data, s2_fire_to_s3) || s3_coh_dup_for_wb_valid === ClientStates.Dirty
1390  }
1391
1392  when (s2_fire_to_s3) { s3_valid_dup_for_wb_valid := true.B }
1393  .elsewhen (s3_fire_dup_for_wb_valid) { s3_valid_dup_for_wb_valid := false.B }
1394
1395  // -------------------------------------------------------------------------------------
1396
1397  val s3_fire = s3_valid_dup(4) && s3_can_go
1398  when (s2_fire_to_s3) {
1399    s3_valid := true.B
1400    s3_valid_dup.foreach(_ := true.B)
1401    s3_valid_dup_for_status.foreach(_ := true.B)
1402  }.elsewhen (s3_fire) {
1403    s3_valid := false.B
1404    s3_valid_dup.foreach(_ := false.B)
1405    s3_valid_dup_for_status.foreach(_ := false.B)
1406  }
1407  s3_ready := !s3_valid_dup(5) || s3_can_go
1408  s3_s0_set_conflict := s3_valid_dup(6) && s3_idx_dup(0) === s0_idx
1409  s3_s0_set_conflict_store := s3_valid_dup(7) && s3_idx_dup(1) === store_idx
1410  //assert(RegNext(!s3_valid || !(s3_req_source_dup_2 === STORE_SOURCE.U && !s3_req.probe) || s3_hit)) // miss store should never come to s3 ,fixed(reserve)
1411
1412  when(s3_fire) {
1413    s3_s_amoalu := false.B
1414    s3_s_amoalu_dup.foreach(_ := false.B)
1415  }
1416
1417  req.ready := s0_can_go
1418
1419  io.meta_read.valid := req.valid && !set_conflict
1420  io.meta_read.bits.idx := get_idx(s0_req.vaddr)
1421  io.meta_read.bits.way_en := Mux(s0_req.replace, s0_req.replace_way_en, ~0.U(nWays.W))
1422
1423  io.tag_read.valid := req.valid && !set_conflict && !s0_req.replace
1424  io.tag_read.bits.idx := get_idx(s0_req.vaddr)
1425  io.tag_read.bits.way_en := ~0.U(nWays.W)
1426
1427  io.data_read_intend := s1_valid_dup(3) && s1_need_data
1428  io.data_readline.valid := s1_valid_dup(4) && s1_need_data
1429  io.data_readline.bits.rmask := s1_banked_rmask
1430  io.data_readline.bits.way_en := s1_way_en
1431  io.data_readline.bits.addr := s1_req_vaddr_dup_for_data_read
1432
1433  io.miss_req.valid := s2_valid_dup(4) && s2_can_go_to_mq_dup(0)
1434  val miss_req = io.miss_req.bits
1435  miss_req := DontCare
1436  miss_req.source := s2_req.source
1437  miss_req.pf_source := L1_HW_PREFETCH_NULL
1438  miss_req.cmd := s2_req.cmd
1439  miss_req.addr := s2_req.addr
1440  miss_req.vaddr := s2_req_vaddr_dup_for_miss_req
1441  miss_req.store_data := s2_req.store_data
1442  miss_req.store_mask := s2_req.store_mask
1443  miss_req.word_idx := s2_req.word_idx
1444  miss_req.amo_data := s2_req.amo_data
1445  miss_req.amo_mask := s2_req.amo_mask
1446  miss_req.req_coh := s2_hit_coh
1447  miss_req.id := s2_req.id
1448  miss_req.cancel := false.B
1449  miss_req.pc := DontCare
1450  miss_req.full_overwrite := s2_req.isStore && s2_req.store_mask.andR
1451
1452  io.wbq_conflict_check.valid := s2_valid_dup(4) && s2_can_go_to_mq_dup(0)
1453  io.wbq_conflict_check.bits := s2_req.addr
1454
1455  io.store_replay_resp.valid := s2_valid_dup(5) && s2_can_go_to_mq_dup(1) && replay && s2_req.isStore
1456  io.store_replay_resp.bits.data := DontCare
1457  io.store_replay_resp.bits.miss := true.B
1458  io.store_replay_resp.bits.replay := true.B
1459  io.store_replay_resp.bits.id := s2_req.id
1460
1461  io.store_hit_resp.valid := s3_valid_dup(8) && (s3_store_can_go || (s3_miss_can_go && s3_req.isStore))
1462  io.store_hit_resp.bits.data := DontCare
1463  io.store_hit_resp.bits.miss := false.B
1464  io.store_hit_resp.bits.replay := false.B
1465  io.store_hit_resp.bits.id := s3_req.id
1466
1467  io.release_update.valid := s3_valid_dup(9) && (s3_store_can_go || s3_amo_can_go) && s3_hit && update_data
1468  io.release_update.bits.addr := s3_req_addr_dup(3)
1469  io.release_update.bits.mask := Mux(s3_store_hit_dup(1), s3_banked_store_wmask, banked_amo_wmask)
1470  io.release_update.bits.data := Mux(
1471    amo_wait_amoalu,
1472    s3_amo_data_merged_reg,
1473    Mux(
1474      s3_sc,
1475      s3_sc_data_merged,
1476      s3_store_data_merged
1477    )
1478  ).asUInt
1479
1480  val atomic_hit_resp = Wire(new MainPipeResp)
1481  atomic_hit_resp.source := s3_req.source
1482  atomic_hit_resp.data := Mux(s3_sc, s3_sc_resp, s3_data_word)
1483  atomic_hit_resp.miss := false.B
1484  atomic_hit_resp.miss_id := s3_req.miss_id
1485  atomic_hit_resp.error := s3_error
1486  atomic_hit_resp.replay := false.B
1487  atomic_hit_resp.ack_miss_queue := s3_req_miss_dup(5)
1488  atomic_hit_resp.id := lrsc_valid_dup(2)
1489  val atomic_replay_resp = Wire(new MainPipeResp)
1490  atomic_replay_resp.source := s2_req.source
1491  atomic_replay_resp.data := DontCare
1492  atomic_replay_resp.miss := true.B
1493  atomic_replay_resp.miss_id := DontCare
1494  atomic_replay_resp.error := false.B
1495  atomic_replay_resp.replay := true.B
1496  atomic_replay_resp.ack_miss_queue := false.B
1497  atomic_replay_resp.id := DontCare
1498
1499  val atomic_replay_resp_valid = s2_valid_dup(6) && s2_can_go_to_mq_dup(2) && replay && (s2_req.isAMO || s2_req.miss)
1500  val atomic_hit_resp_valid = s3_valid_dup(10) && (s3_amo_can_go || s3_miss_can_go && (s3_req.isAMO || s3_req.miss))
1501
1502  io.atomic_resp.valid := atomic_replay_resp_valid || atomic_hit_resp_valid
1503  io.atomic_resp.bits := Mux(atomic_replay_resp_valid, atomic_replay_resp, atomic_hit_resp)
1504
1505  // io.replace_resp.valid := s3_fire && s3_req_replace_dup(3)
1506  // io.replace_resp.bits := s3_req.miss_id
1507
1508  io.meta_write.valid := s3_fire_dup_for_meta_w_valid && update_meta_dup_for_meta_w_valid
1509  io.meta_write.bits.idx := s3_idx_dup(2)
1510  io.meta_write.bits.way_en := s3_way_en_dup(0)
1511  io.meta_write.bits.meta.coh := new_coh
1512
1513  io.error_flag_write.valid := s3_fire_dup_for_err_w_valid && update_meta_dup_for_err_w_valid && (s3_l2_error || s3_req.miss)
1514  io.error_flag_write.bits.idx := s3_idx_dup(3)
1515  io.error_flag_write.bits.way_en := s3_way_en_dup(1)
1516  io.error_flag_write.bits.flag := s3_l2_error
1517
1518  // if we use (prefetch_flag && meta =/= ClientStates.Nothing) for prefetch check
1519  // prefetch_flag_write can be omited
1520  io.prefetch_flag_write.valid := s3_fire_dup_for_meta_w_valid && s3_req.miss
1521  io.prefetch_flag_write.bits.idx := s3_idx_dup(3)
1522  io.prefetch_flag_write.bits.way_en := s3_way_en_dup(1)
1523  io.prefetch_flag_write.bits.source := s3_req.pf_source
1524
1525  // regenerate repl_way & repl_coh
1526  io.bloom_filter_query.set.valid := s2_fire_to_s3 && s2_req.miss && !isFromL1Prefetch(s2_repl_pf) && s2_repl_coh.isValid() && isFromL1Prefetch(s2_req.pf_source)
1527  io.bloom_filter_query.set.bits.addr := io.bloom_filter_query.set.bits.get_addr(Cat(s2_repl_tag, get_untag(s2_req.vaddr))) // the evict block address
1528
1529  io.bloom_filter_query.clr.valid := s3_fire && isFromL1Prefetch(s3_req.pf_source)
1530  io.bloom_filter_query.clr.bits.addr := io.bloom_filter_query.clr.bits.get_addr(s3_req.addr)
1531
1532  XSPerfAccumulate("mainpipe_update_prefetchArray", io.prefetch_flag_write.valid)
1533  XSPerfAccumulate("mainpipe_s2_miss_req", s2_valid && s2_req.miss)
1534  XSPerfAccumulate("mainpipe_s2_block_penalty", s2_valid && s2_req.miss && !io.refill_info.valid)
1535  XSPerfAccumulate("mainpipe_s2_missqueue_replay", s2_valid && s2_can_go_to_mq_replay)
1536  XSPerfAccumulate("mainpipe_slot_conflict_1_2", (s1_idx === s2_idx && s1_way_en === s2_way_en && s1_req.miss && s2_req.miss && s1_valid && s2_valid ))
1537  XSPerfAccumulate("mainpipe_slot_conflict_1_3", (s1_idx === s3_idx_dup_for_replace_access && s1_way_en === s3_way_en && s1_req.miss && s3_req.miss && s1_valid && s3_valid))
1538  XSPerfAccumulate("mainpipe_slot_conflict_2_3", (s2_idx === s3_idx_dup_for_replace_access && s2_way_en === s3_way_en && s2_req.miss && s3_req.miss && s2_valid && s3_valid))
1539  // probe / replace will not update access bit
1540  io.access_flag_write.valid := s3_fire_dup_for_meta_w_valid && !s3_req.probe && !s3_req.replace
1541  io.access_flag_write.bits.idx := s3_idx_dup(3)
1542  io.access_flag_write.bits.way_en := s3_way_en_dup(1)
1543  // io.access_flag_write.bits.flag := true.B
1544  io.access_flag_write.bits.flag :=Mux(s3_req.miss, s3_req.access, true.B)
1545
1546  io.tag_write.valid := s3_fire_dup_for_tag_w_valid && s3_req_miss_dup_for_tag_w_valid
1547  io.tag_write.bits.idx := s3_idx_dup(4)
1548  io.tag_write.bits.way_en := s3_way_en_dup(2)
1549  io.tag_write.bits.tag := get_tag(s3_req_addr_dup(4))
1550  io.tag_write.bits.ecc := DontCare // generate ecc code in tagArray
1551  io.tag_write.bits.vaddr := s3_req_vaddr_dup_for_data_write
1552
1553  io.tag_write_intend := s3_req_miss_dup(7) && s3_valid_dup(11)
1554  XSPerfAccumulate("fake_tag_write_intend", io.tag_write_intend && !io.tag_write.valid)
1555  XSPerfAccumulate("mainpipe_tag_write", io.tag_write.valid)
1556
1557  io.replace_addr.valid := s2_valid && s2_need_eviction
1558  io.replace_addr.bits  := get_block_addr(Cat(s2_tag, get_untag(s2_req.vaddr)))
1559
1560  assert(!RegNext(io.tag_write.valid && !io.tag_write_intend))
1561
1562  io.data_write.valid := s3_valid_dup_for_data_w_valid && s3_update_data_cango_dup_for_data_w_valid && update_data_dup_for_data_w_valid
1563  io.data_write.bits.way_en := s3_way_en_dup(3)
1564  io.data_write.bits.addr := s3_req_vaddr_dup_for_data_write
1565  io.data_write.bits.wmask := banked_wmask
1566  io.data_write.bits.data := Mux(
1567    amo_wait_amoalu_dup_for_data_w_valid,
1568    s3_amo_data_merged_reg,
1569    Mux(
1570      s3_sc_dup_for_data_w_valid,
1571      s3_sc_data_merged_dup_for_data_w_valid,
1572      s3_store_data_merged
1573    )
1574  )
1575  //assert(RegNext(!io.meta_write.valid || !s3_req.replace))
1576  assert(RegNext(!io.tag_write.valid || !s3_req.replace))
1577  assert(RegNext(!io.data_write.valid || !s3_req.replace))
1578
1579  io.wb.valid := s3_valid_dup_for_wb_valid && (
1580    // replace
1581    s3_req_replace_dup_for_wb_valid && !s3_replace_nothing_dup_for_wb_valid ||
1582    // probe can go to wbq
1583    s3_req_probe_dup_for_wb_valid && (io.meta_write.ready || !probe_update_meta_dup_for_wb_valid) ||
1584      // amo miss can go to wbq
1585      s3_req_miss_dup_for_wb_valid &&
1586        (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) &&
1587        (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) &&
1588        (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) &&
1589        io.tag_write_ready_dup(wbPort)
1590    ) && need_wb_dup_for_wb_valid
1591
1592  io.wb.bits.addr := get_block_addr(Cat(s3_tag_dup_for_wb_valid, get_untag(s3_req.vaddr)))
1593  io.wb.bits.param := writeback_param_dup_for_wb_valid
1594  io.wb.bits.voluntary := s3_req_miss_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid
1595  io.wb.bits.hasData := writeback_data_dup_for_wb_valid
1596  io.wb.bits.dirty := s3_coh_dup_for_wb_valid === ClientStates.Dirty
1597  io.wb.bits.data := s3_data.asUInt
1598  io.wb.bits.delay_release := s3_req_replace_dup_for_wb_valid
1599  io.wb.bits.miss_id := s3_req.miss_id
1600
1601  // update plru in main pipe s3
1602  io.replace_access.valid := GatedValidRegNext(s2_fire_to_s3) && !s3_req.probe && (s3_req.miss || ((s3_req.isAMO || s3_req.isStore) && s3_hit))
1603  io.replace_access.bits.set := s3_idx_dup_for_replace_access
1604  io.replace_access.bits.way := OHToUInt(s3_way_en)
1605
1606  io.replace_way.set.valid := GatedValidRegNext(s0_fire)
1607  io.replace_way.set.bits := s1_idx_dup_for_replace_way
1608  io.replace_way.dmWay := s1_dmWay_dup_for_replace_way
1609
1610  // send evict hint to sms
1611  val sms_agt_evict_valid = s2_valid && s2_req.miss && s2_fire_to_s3
1612  io.sms_agt_evict_req.valid := GatedValidRegNext(sms_agt_evict_valid)
1613  io.sms_agt_evict_req.bits.vaddr := RegEnable(Cat(s2_repl_tag(tagBits - 1, 2), s2_req.vaddr(13,12), 0.U((VAddrBits - tagBits).W)), sms_agt_evict_valid)
1614
1615  // TODO: consider block policy of a finer granularity
1616  io.status.s0_set.valid := req.valid
1617  io.status.s0_set.bits := get_idx(s0_req.vaddr)
1618  io.status.s1.valid := s1_valid_dup(5)
1619  io.status.s1.bits.set := s1_idx
1620  io.status.s1.bits.way_en := s1_way_en
1621  io.status.s2.valid := s2_valid_dup(7) && !s2_req_replace_dup_2
1622  io.status.s2.bits.set := s2_idx_dup_for_status
1623  io.status.s2.bits.way_en := s2_way_en
1624  io.status.s3.valid := s3_valid && !s3_req_replace_dup(7)
1625  io.status.s3.bits.set := s3_idx_dup(5)
1626  io.status.s3.bits.way_en := s3_way_en
1627
1628  for ((s, i) <- io.status_dup.zipWithIndex) {
1629    s.s1.valid := s1_valid_dup_for_status(i)
1630    s.s1.bits.set := RegEnable(get_idx(s0_req.vaddr), s0_fire)
1631    s.s1.bits.way_en := s1_way_en
1632    s.s2.valid := s2_valid_dup_for_status(i) && !RegEnable(s1_req.replace, s1_fire)
1633    s.s2.bits.set := RegEnable(get_idx(s1_req.vaddr), s1_fire)
1634    s.s2.bits.way_en := RegEnable(s1_way_en, s1_fire)
1635    s.s3.valid := s3_valid_dup_for_status(i) && !RegEnable(s2_req.replace, s2_fire_to_s3)
1636    s.s3.bits.set := RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3)
1637    s.s3.bits.way_en := RegEnable(s2_way_en, s2_fire_to_s3)
1638  }
1639  dontTouch(io.status_dup)
1640
1641  io.mainpipe_info.s2_valid := s2_valid && s2_req.miss
1642  io.mainpipe_info.s2_miss_id := s2_req.miss_id
1643  io.mainpipe_info.s2_replay_to_mq := s2_valid && s2_can_go_to_mq_replay
1644  io.mainpipe_info.s3_valid := s3_valid
1645  io.mainpipe_info.s3_miss_id := s3_req.miss_id
1646  io.mainpipe_info.s3_refill_resp := RegNext(s2_valid && s2_req.miss && s2_fire_to_s3)
1647
1648  // report error to beu and csr, 1 cycle after read data resp
1649  io.error := 0.U.asTypeOf(ValidIO(new L1CacheErrorInfo))
1650  // report error, update error csr
1651  io.error.valid := s3_error && GatedValidRegNext(s2_fire)
1652  // only tag_error and data_error will be reported to beu
1653  // l2_error should not be reported (l2 will report that)
1654  io.error.bits.report_to_beu := (RegEnable(s2_tag_error, s2_fire) || s3_data_error) && RegNext(s2_fire)
1655  io.error.bits.paddr := RegEnable(s2_req.addr, s2_fire)
1656  io.error.bits.source.tag := RegEnable(s2_tag_error, s2_fire)
1657  io.error.bits.source.data := s3_data_error
1658  io.error.bits.source.l2 := RegEnable(s2_flag_error || s2_l2_error, s2_fire)
1659  io.error.bits.opType.store := RegEnable(s2_req.isStore && !s2_req.probe, s2_fire)
1660  io.error.bits.opType.probe := RegEnable(s2_req.probe, s2_fire)
1661  io.error.bits.opType.release := RegEnable(s2_req.replace, s2_fire)
1662  io.error.bits.opType.atom := RegEnable(s2_req.isAMO && !s2_req.probe, s2_fire)
1663
1664  val perfEvents = Seq(
1665    ("dcache_mp_req          ", s0_fire                                                      ),
1666    ("dcache_mp_total_penalty", PopCount(VecInit(Seq(s0_fire, s1_valid, s2_valid, s3_valid))))
1667  )
1668  generatePerfEvent()
1669}