1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.tilelink.ClientStates._ 23import freechips.rocketchip.tilelink.MemoryOpCategories._ 24import freechips.rocketchip.tilelink.TLPermissions._ 25import freechips.rocketchip.tilelink.{ClientMetadata, ClientStates, TLPermissions} 26import utils._ 27import utility._ 28import xiangshan.{L1CacheErrorInfo, XSCoreParamsKey} 29 30class MainPipeReq(implicit p: Parameters) extends DCacheBundle { 31 val miss = Bool() // only amo miss will refill in main pipe 32 val miss_id = UInt(log2Up(cfg.nMissEntries).W) 33 val miss_param = UInt(TLPermissions.bdWidth.W) 34 val miss_dirty = Bool() 35 val miss_way_en = UInt(DCacheWays.W) 36 37 val probe = Bool() 38 val probe_param = UInt(TLPermissions.bdWidth.W) 39 val probe_need_data = Bool() 40 41 // request info 42 // reqs from Store, AMO use this 43 // probe does not use this 44 val source = UInt(sourceTypeWidth.W) 45 val cmd = UInt(M_SZ.W) 46 // if dcache size > 32KB, vaddr is also needed for store 47 // vaddr is used to get extra index bits 48 val vaddr = UInt(VAddrBits.W) 49 // must be aligned to block 50 val addr = UInt(PAddrBits.W) 51 52 // store 53 val store_data = UInt((cfg.blockBytes * 8).W) 54 val store_mask = UInt(cfg.blockBytes.W) 55 56 // which word does amo work on? 57 val word_idx = UInt(log2Up(cfg.blockBytes * 8 / DataBits).W) 58 val amo_data = UInt(DataBits.W) 59 val amo_mask = UInt((DataBits / 8).W) 60 61 // error 62 val error = Bool() 63 64 // replace 65 val replace = Bool() 66 val replace_way_en = UInt(DCacheWays.W) 67 68 val id = UInt(reqIdWidth.W) 69 70 def isLoad: Bool = source === LOAD_SOURCE.U 71 def isStore: Bool = source === STORE_SOURCE.U 72 def isAMO: Bool = source === AMO_SOURCE.U 73 74 def convertStoreReq(store: DCacheLineReq): MainPipeReq = { 75 val req = Wire(new MainPipeReq) 76 req := DontCare 77 req.miss := false.B 78 req.miss_dirty := false.B 79 req.probe := false.B 80 req.probe_need_data := false.B 81 req.source := STORE_SOURCE.U 82 req.cmd := store.cmd 83 req.addr := store.addr 84 req.vaddr := store.vaddr 85 req.store_data := store.data 86 req.store_mask := store.mask 87 req.replace := false.B 88 req.error := false.B 89 req.id := store.id 90 req 91 } 92} 93 94class MainPipeStatus(implicit p: Parameters) extends DCacheBundle { 95 val set = UInt(idxBits.W) 96 val way_en = UInt(nWays.W) 97} 98 99class MainPipe(implicit p: Parameters) extends DCacheModule with HasPerfEvents { 100 val io = IO(new Bundle() { 101 // probe queue 102 val probe_req = Flipped(DecoupledIO(new MainPipeReq)) 103 // store miss go to miss queue 104 val miss_req = DecoupledIO(new MissReq) 105 val miss_resp = Input(new MissResp) // miss resp is used to support plru update 106 // store buffer 107 val store_req = Flipped(DecoupledIO(new DCacheLineReq)) 108 val store_replay_resp = ValidIO(new DCacheLineResp) 109 val store_hit_resp = ValidIO(new DCacheLineResp) 110 val release_update = ValidIO(new ReleaseUpdate) 111 // atmoics 112 val atomic_req = Flipped(DecoupledIO(new MainPipeReq)) 113 val atomic_resp = ValidIO(new AtomicsResp) 114 // replace 115 val replace_req = Flipped(DecoupledIO(new MainPipeReq)) 116 val replace_resp = ValidIO(UInt(log2Up(cfg.nMissEntries).W)) 117 // write-back queue 118 val wb = DecoupledIO(new WritebackReq) 119 val wb_ready_dup = Vec(nDupWbReady, Input(Bool())) 120 val probe_ttob_check_req = ValidIO(new ProbeToBCheckReq) 121 val probe_ttob_check_resp = Flipped(ValidIO(new ProbeToBCheckResp)) 122 123 // data sram 124 val data_read = Vec(LoadPipelineWidth, Input(Bool())) 125 val data_read_intend = Output(Bool()) 126 val data_readline = DecoupledIO(new L1BankedDataReadLineReq) 127 val data_resp = Input(Vec(DCacheBanks, new L1BankedDataReadResult())) 128 val readline_error_delayed = Input(Bool()) 129 val data_write = DecoupledIO(new L1BankedDataWriteReq) 130 val data_write_dup = Vec(DCacheBanks, Valid(new L1BankedDataWriteReqCtrl)) 131 val data_write_ready_dup = Vec(nDupDataWriteReady, Input(Bool())) 132 133 // meta array 134 val meta_read = DecoupledIO(new MetaReadReq) 135 val meta_resp = Input(Vec(nWays, new Meta)) 136 val meta_write = DecoupledIO(new CohMetaWriteReq) 137 val extra_meta_resp = Input(Vec(nWays, new DCacheExtraMeta)) 138 val error_flag_write = DecoupledIO(new FlagMetaWriteReq) 139 val prefetch_flag_write = DecoupledIO(new FlagMetaWriteReq) 140 val access_flag_write = DecoupledIO(new FlagMetaWriteReq) 141 142 // tag sram 143 val tag_read = DecoupledIO(new TagReadReq) 144 val tag_resp = Input(Vec(nWays, UInt(encTagBits.W))) 145 val tag_write = DecoupledIO(new TagWriteReq) 146 val tag_write_ready_dup = Vec(nDupTagWriteReady, Input(Bool())) 147 val tag_write_intend = Output(new Bool()) 148 149 // update state vec in replacement algo 150 val replace_access = ValidIO(new ReplacementAccessBundle) 151 // find the way to be replaced 152 val replace_way = new ReplacementWayReqIO 153 154 val status = new Bundle() { 155 val s0_set = ValidIO(UInt(idxBits.W)) 156 val s1, s2, s3 = ValidIO(new MainPipeStatus) 157 } 158 val status_dup = Vec(nDupStatus, new Bundle() { 159 val s1, s2, s3 = ValidIO(new MainPipeStatus) 160 }) 161 162 // lrsc locked block should block probe 163 val lrsc_locked_block = Output(Valid(UInt(PAddrBits.W))) 164 val invalid_resv_set = Input(Bool()) 165 val update_resv_set = Output(Bool()) 166 val block_lr = Output(Bool()) 167 168 // ecc error 169 val error = Output(new L1CacheErrorInfo()) 170 }) 171 172 // meta array is made of regs, so meta write or read should always be ready 173 assert(RegNext(io.meta_read.ready)) 174 assert(RegNext(io.meta_write.ready)) 175 176 val s1_s0_set_conflict, s2_s0_set_conlict, s3_s0_set_conflict = Wire(Bool()) 177 val set_conflict = s1_s0_set_conflict || s2_s0_set_conlict || s3_s0_set_conflict 178 // check sbuffer store req set_conflict in parallel with req arbiter 179 // it will speed up the generation of store_req.ready, which is in crit. path 180 val s1_s0_set_conflict_store, s2_s0_set_conlict_store, s3_s0_set_conflict_store = Wire(Bool()) 181 val store_set_conflict = s1_s0_set_conflict_store || s2_s0_set_conlict_store || s3_s0_set_conflict_store 182 val s1_ready, s2_ready, s3_ready = Wire(Bool()) 183 184 // convert store req to main pipe req, and select a req from store and probe 185 val storeWaitCycles = RegInit(0.U(4.W)) 186 val StoreWaitThreshold = WireInit(12.U(4.W)) 187 val storeWaitTooLong = storeWaitCycles >= StoreWaitThreshold 188 val loadsAreComing = io.data_read.asUInt.orR 189 val storeCanAccept = storeWaitTooLong || !loadsAreComing 190 191 val store_req = Wire(DecoupledIO(new MainPipeReq)) 192 store_req.bits := (new MainPipeReq).convertStoreReq(io.store_req.bits) 193 store_req.valid := io.store_req.valid && storeCanAccept 194 io.store_req.ready := store_req.ready && storeCanAccept 195 196 when (store_req.fire) { // if wait too long and write success, reset counter. 197 storeWaitCycles := 0.U 198 } .elsewhen (storeWaitCycles < StoreWaitThreshold && store_req.valid && !store_req.ready) { // if block store, increase counter. 199 storeWaitCycles := storeWaitCycles + 1.U 200 } 201 202 // s0: read meta and tag 203 val req = Wire(DecoupledIO(new MainPipeReq)) 204 arbiter( 205 in = Seq( 206 io.probe_req, 207 io.replace_req, 208 store_req, // Note: store_req.ready is now manually assigned for better timing 209 io.atomic_req 210 ), 211 out = req, 212 name = Some("main_pipe_req") 213 ) 214 215 val store_idx = get_idx(io.store_req.bits.vaddr) 216 // manually assign store_req.ready for better timing 217 // now store_req set conflict check is done in parallel with req arbiter 218 store_req.ready := io.meta_read.ready && io.tag_read.ready && s1_ready && !store_set_conflict && 219 !io.probe_req.valid && !io.replace_req.valid 220 val s0_req = req.bits 221 val s0_idx = get_idx(s0_req.vaddr) 222 val s0_need_tag = io.tag_read.valid 223 val s0_can_go = io.meta_read.ready && io.tag_read.ready && s1_ready && !set_conflict 224 val s0_fire = req.valid && s0_can_go 225 226 val bank_write = VecInit((0 until DCacheBanks).map(i => get_mask_of_bank(i, s0_req.store_mask).orR)).asUInt 227 val bank_full_write = VecInit((0 until DCacheBanks).map(i => get_mask_of_bank(i, s0_req.store_mask).andR)).asUInt 228 val banks_full_overwrite = bank_full_write.andR 229 230 val banked_store_rmask = bank_write & ~bank_full_write 231 val banked_full_rmask = ~0.U(DCacheBanks.W) 232 val banked_none_rmask = 0.U(DCacheBanks.W) 233 234 val store_need_data = !s0_req.probe && s0_req.isStore && banked_store_rmask.orR 235 val probe_need_data = s0_req.probe 236 val amo_need_data = !s0_req.probe && s0_req.isAMO 237 val miss_need_data = s0_req.miss 238 val replace_need_data = s0_req.replace 239 240 val banked_need_data = store_need_data || probe_need_data || amo_need_data || miss_need_data || replace_need_data 241 242 val s0_banked_rmask = Mux(store_need_data, banked_store_rmask, 243 Mux(probe_need_data || amo_need_data || miss_need_data || replace_need_data, 244 banked_full_rmask, 245 banked_none_rmask 246 )) 247 248 // generate wmask here and use it in stage 2 249 val banked_store_wmask = bank_write 250 val banked_full_wmask = ~0.U(DCacheBanks.W) 251 val banked_none_wmask = 0.U(DCacheBanks.W) 252 253 // s1: read data 254 val s1_valid = RegInit(false.B) 255 val s1_need_data = RegEnable(banked_need_data, s0_fire) 256 val s1_req = RegEnable(s0_req, s0_fire) 257 val s1_banked_rmask = RegEnable(s0_banked_rmask, s0_fire) 258 val s1_banked_store_wmask = RegEnable(banked_store_wmask, s0_fire) 259 val s1_need_tag = RegEnable(s0_need_tag, s0_fire) 260 val s1_can_go = s2_ready && (io.data_readline.ready || !s1_need_data) 261 val s1_fire = s1_valid && s1_can_go 262 val s1_idx = get_idx(s1_req.vaddr) 263 264 // duplicate regs to reduce fanout 265 val s1_valid_dup = RegInit(VecInit(Seq.fill(6)(false.B))) 266 val s1_req_vaddr_dup_for_data_read = RegEnable(s0_req.vaddr, s0_fire) 267 val s1_idx_dup_for_replace_way = RegEnable(get_idx(s0_req.vaddr), s0_fire) 268 269 val s1_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B))) 270 271 when (s0_fire) { 272 s1_valid := true.B 273 s1_valid_dup.foreach(_ := true.B) 274 s1_valid_dup_for_status.foreach(_ := true.B) 275 }.elsewhen (s1_fire) { 276 s1_valid := false.B 277 s1_valid_dup.foreach(_ := false.B) 278 s1_valid_dup_for_status.foreach(_ := false.B) 279 } 280 s1_ready := !s1_valid_dup(0) || s1_can_go 281 s1_s0_set_conflict := s1_valid_dup(1) && s0_idx === s1_idx 282 s1_s0_set_conflict_store := s1_valid_dup(2) && store_idx === s1_idx 283 284 val meta_resp = Wire(Vec(nWays, (new Meta).asUInt())) 285 val tag_resp = Wire(Vec(nWays, UInt(tagBits.W))) 286 val ecc_resp = Wire(Vec(nWays, UInt(eccTagBits.W))) 287 meta_resp := Mux(RegNext(s0_fire), VecInit(io.meta_resp.map(_.asUInt)), RegNext(meta_resp)) 288 tag_resp := Mux(RegNext(s0_fire), VecInit(io.tag_resp.map(r => r(tagBits - 1, 0))), RegNext(tag_resp)) 289 ecc_resp := Mux(RegNext(s0_fire), VecInit(io.tag_resp.map(r => r(encTagBits - 1, tagBits))), RegNext(ecc_resp)) 290 val enc_tag_resp = Wire(io.tag_resp.cloneType) 291 enc_tag_resp := Mux(RegNext(s0_fire), io.tag_resp, RegNext(enc_tag_resp)) 292 293 def wayMap[T <: Data](f: Int => T) = VecInit((0 until nWays).map(f)) 294 val s1_tag_eq_way = wayMap((w: Int) => tag_resp(w) === get_tag(s1_req.addr)).asUInt 295 val s1_tag_match_way = wayMap((w: Int) => s1_tag_eq_way(w) && Meta(meta_resp(w)).coh.isValid()).asUInt 296 val s1_tag_match = s1_tag_match_way.orR 297 298 val s1_hit_tag = Mux(s1_tag_match, Mux1H(s1_tag_match_way, wayMap(w => tag_resp(w))), get_tag(s1_req.addr)) 299 val s1_hit_coh = ClientMetadata(Mux(s1_tag_match, Mux1H(s1_tag_match_way, wayMap(w => meta_resp(w))), 0.U)) 300 val s1_encTag = Mux1H(s1_tag_match_way, wayMap((w: Int) => enc_tag_resp(w))) 301 val s1_flag_error = Mux(s1_tag_match, Mux1H(s1_tag_match_way, wayMap(w => io.extra_meta_resp(w).error)), false.B) 302 val s1_extra_meta = Mux1H(s1_tag_match_way, wayMap(w => io.extra_meta_resp(w))) 303 val s1_l2_error = s1_req.error 304 305 XSPerfAccumulate("probe_unused_prefetch", s1_req.probe && s1_extra_meta.prefetch && !s1_extra_meta.access) // may not be accurate 306 XSPerfAccumulate("replace_unused_prefetch", s1_req.replace && s1_extra_meta.prefetch && !s1_extra_meta.access) // may not be accurate 307 308 // replacement policy 309 val s1_invalid_vec = wayMap(w => !meta_resp(w).asTypeOf(new Meta).coh.isValid()) 310 val s1_have_invalid_way = s1_invalid_vec.asUInt.orR 311 val s1_invalid_way_en = ParallelPriorityMux(s1_invalid_vec.zipWithIndex.map(x => x._1 -> UIntToOH(x._2.U(nWays.W)))) 312 val s1_repl_way_en = WireInit(0.U(nWays.W)) 313 s1_repl_way_en := Mux( 314 RegNext(s0_fire), 315 Mux( 316 s1_have_invalid_way, 317 s1_invalid_way_en, 318 UIntToOH(io.replace_way.way) 319 ), 320 RegNext(s1_repl_way_en) 321 ) 322 val s1_repl_tag = Mux1H(s1_repl_way_en, wayMap(w => tag_resp(w))) 323 val s1_repl_coh = Mux1H(s1_repl_way_en, wayMap(w => meta_resp(w))).asTypeOf(new ClientMetadata) 324 val s1_miss_tag = Mux1H(s1_req.miss_way_en, wayMap(w => tag_resp(w))) 325 val s1_miss_coh = Mux1H(s1_req.miss_way_en, wayMap(w => meta_resp(w))).asTypeOf(new ClientMetadata) 326 327 val s1_repl_way_raw = WireInit(0.U(log2Up(nWays).W)) 328 s1_repl_way_raw := Mux(RegNext(s0_fire), io.replace_way.way, RegNext(s1_repl_way_raw)) 329 330 val s1_need_replacement = (s1_req.miss || s1_req.isStore && !s1_req.probe) && !s1_tag_match 331 val s1_way_en = Mux( 332 s1_req.replace, 333 s1_req.replace_way_en, 334 Mux( 335 s1_req.miss, 336 s1_req.miss_way_en, 337 Mux( 338 s1_need_replacement, 339 s1_repl_way_en, 340 s1_tag_match_way 341 ) 342 ) 343 ) 344 assert(!RegNext(s1_fire && PopCount(s1_way_en) > 1.U)) 345 val s1_tag = Mux( 346 s1_req.replace, 347 get_tag(s1_req.addr), 348 Mux( 349 s1_req.miss, 350 s1_miss_tag, 351 Mux(s1_need_replacement, s1_repl_tag, s1_hit_tag) 352 ) 353 ) 354 val s1_coh = Mux( 355 s1_req.replace, 356 Mux1H(s1_req.replace_way_en, meta_resp.map(ClientMetadata(_))), 357 Mux( 358 s1_req.miss, 359 s1_miss_coh, 360 Mux(s1_need_replacement, s1_repl_coh, s1_hit_coh) 361 ) 362 ) 363 364 XSPerfAccumulate("store_has_invalid_way_but_select_valid_way", io.replace_way.set.valid && wayMap(w => !meta_resp(w).asTypeOf(new Meta).coh.isValid()).asUInt.orR && s1_need_replacement && s1_repl_coh.isValid()) 365 XSPerfAccumulate("store_using_replacement", io.replace_way.set.valid && s1_need_replacement) 366 367 val s1_has_permission = s1_hit_coh.onAccess(s1_req.cmd)._1 368 val s1_hit = s1_tag_match && s1_has_permission 369 val s1_pregen_can_go_to_mq = !s1_req.replace && !s1_req.probe && !s1_req.miss && (s1_req.isStore || s1_req.isAMO) && !s1_hit 370 371 val s1_ttob_probe = s1_valid && s1_req.probe && s1_req.probe_param === TLPermissions.toB 372 io.probe_ttob_check_req.valid := s1_ttob_probe 373 io.probe_ttob_check_req.bits.addr := get_block_addr(Cat(s1_tag, get_untag(s1_req.vaddr))) 374 375 // s2: select data, return resp if this is a store miss 376 val s2_valid = RegInit(false.B) 377 val s2_req = RegEnable(s1_req, s1_fire) 378 val s2_tag_match = RegEnable(s1_tag_match, s1_fire) 379 val s2_tag_match_way = RegEnable(s1_tag_match_way, s1_fire) 380 val s2_hit_coh = RegEnable(s1_hit_coh, s1_fire) 381 val (s2_has_permission, _, s2_new_hit_coh) = s2_hit_coh.onAccess(s2_req.cmd) 382 383 val s2_repl_tag = RegEnable(s1_repl_tag, s1_fire) 384 val s2_repl_coh = RegEnable(s1_repl_coh, s1_fire) 385 val s2_repl_way_en = RegEnable(s1_repl_way_en, s1_fire) 386 val s2_need_replacement = RegEnable(s1_need_replacement, s1_fire) 387 val s2_need_data = RegEnable(s1_need_data, s1_fire) 388 val s2_need_tag = RegEnable(s1_need_tag, s1_fire) 389 val s2_encTag = RegEnable(s1_encTag, s1_fire) 390 val s2_idx = get_idx(s2_req.vaddr) 391 392 // duplicate regs to reduce fanout 393 val s2_valid_dup = RegInit(VecInit(Seq.fill(8)(false.B))) 394 val s2_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B))) 395 val s2_req_vaddr_dup_for_miss_req = RegEnable(s1_req.vaddr, s1_fire) 396 val s2_idx_dup_for_status = RegEnable(get_idx(s1_req.vaddr), s1_fire) 397 val s2_idx_dup_for_replace_access = RegEnable(get_idx(s1_req.vaddr), s1_fire) 398 399 val s2_req_replace_dup_1, 400 s2_req_replace_dup_2 = RegEnable(s1_req.replace, s1_fire) 401 402 val s2_can_go_to_mq_dup = (0 until 3).map(_ => RegEnable(s1_pregen_can_go_to_mq, s1_fire)) 403 404 val s2_way_en = RegEnable(s1_way_en, s1_fire) 405 val s2_tag = RegEnable(s1_tag, s1_fire) 406 val s2_coh = RegEnable(s1_coh, s1_fire) 407 val s2_banked_store_wmask = RegEnable(s1_banked_store_wmask, s1_fire) 408 val s2_flag_error = RegEnable(s1_flag_error, s1_fire) 409 val s2_tag_error = dcacheParameters.tagCode.decode(s2_encTag).error && s2_need_tag 410 val s2_l2_error = s2_req.error 411 val s2_error = s2_flag_error || s2_tag_error || s2_l2_error // data_error not included 412 413 val s2_may_report_data_error = s2_need_data && s2_coh.state =/= ClientStates.Nothing 414 415 val s2_hit = s2_tag_match && s2_has_permission 416 val s2_amo_hit = s2_hit && !s2_req.probe && !s2_req.miss && s2_req.isAMO 417 val s2_store_hit = s2_hit && !s2_req.probe && !s2_req.miss && s2_req.isStore 418 419 s2_s0_set_conlict := s2_valid_dup(0) && s0_idx === s2_idx 420 s2_s0_set_conlict_store := s2_valid_dup(1) && store_idx === s2_idx 421 422 // For a store req, it either hits and goes to s3, or miss and enter miss queue immediately 423 val s2_can_go_to_s3 = (s2_req_replace_dup_1 || s2_req.probe || s2_req.miss || (s2_req.isStore || s2_req.isAMO) && s2_hit) && s3_ready 424 val s2_can_go_to_mq = RegEnable(s1_pregen_can_go_to_mq, s1_fire) 425 assert(RegNext(!(s2_valid && s2_can_go_to_s3 && s2_can_go_to_mq))) 426 val s2_can_go = s2_can_go_to_s3 || s2_can_go_to_mq 427 val s2_fire = s2_valid && s2_can_go 428 val s2_fire_to_s3 = s2_valid_dup(2) && s2_can_go_to_s3 429 when (s1_fire) { 430 s2_valid := true.B 431 s2_valid_dup.foreach(_ := true.B) 432 s2_valid_dup_for_status.foreach(_ := true.B) 433 }.elsewhen (s2_fire) { 434 s2_valid := false.B 435 s2_valid_dup.foreach(_ := false.B) 436 s2_valid_dup_for_status.foreach(_ := false.B) 437 } 438 s2_ready := !s2_valid_dup(3) || s2_can_go 439 val replay = !io.miss_req.ready 440 441 val data_resp = Wire(io.data_resp.cloneType) 442 data_resp := Mux(RegNext(s1_fire), io.data_resp, RegNext(data_resp)) 443 val s2_store_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 444 445 def mergePutData(old_data: UInt, new_data: UInt, wmask: UInt): UInt = { 446 val full_wmask = FillInterleaved(8, wmask) 447 ((~full_wmask & old_data) | (full_wmask & new_data)) 448 } 449 450 val s2_data = WireInit(VecInit((0 until DCacheBanks).map(i => { 451 data_resp(i).raw_data 452 }))) 453 454 for (i <- 0 until DCacheBanks) { 455 val old_data = s2_data(i) 456 val new_data = get_data_of_bank(i, s2_req.store_data) 457 // for amo hit, we should use read out SRAM data 458 // do not merge with store data 459 val wmask = Mux(s2_amo_hit, 0.U(wordBytes.W), get_mask_of_bank(i, s2_req.store_mask)) 460 s2_store_data_merged(i) := mergePutData(old_data, new_data, wmask) 461 } 462 463 val s2_data_word = s2_store_data_merged(s2_req.word_idx) 464 465 val s2_probe_ttob_check_resp = Wire(io.probe_ttob_check_resp.cloneType) 466 s2_probe_ttob_check_resp := Mux(RegNext(s1_fire), io.probe_ttob_check_resp, RegNext(s2_probe_ttob_check_resp)) 467 468 // s3: write data, meta and tag 469 val s3_valid = RegInit(false.B) 470 val s3_req = RegEnable(s2_req, s2_fire_to_s3) 471 // val s3_idx = get_idx(s3_req.vaddr) 472 val s3_tag = RegEnable(s2_tag, s2_fire_to_s3) 473 val s3_tag_match = RegEnable(s2_tag_match, s2_fire_to_s3) 474 val s3_coh = RegEnable(s2_coh, s2_fire_to_s3) 475 val s3_hit = RegEnable(s2_hit, s2_fire_to_s3) 476 val s3_amo_hit = RegEnable(s2_amo_hit, s2_fire_to_s3) 477 val s3_store_hit = RegEnable(s2_store_hit, s2_fire_to_s3) 478 val s3_hit_coh = RegEnable(s2_hit_coh, s2_fire_to_s3) 479 val s3_new_hit_coh = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 480 val s3_way_en = RegEnable(s2_way_en, s2_fire_to_s3) 481 val s3_banked_store_wmask = RegEnable(s2_banked_store_wmask, s2_fire_to_s3) 482 val s3_store_data_merged = RegEnable(s2_store_data_merged, s2_fire_to_s3) 483 val s3_data_word = RegEnable(s2_data_word, s2_fire_to_s3) 484 val s3_data = RegEnable(s2_data, s2_fire_to_s3) 485 val s3_l2_error = s3_req.error 486 // data_error will be reported by data array 1 cycle after data read resp 487 val s3_data_error = Wire(Bool()) 488 s3_data_error := Mux(RegNext(RegNext(s1_fire)), // ecc check result is generated 2 cycle after read req 489 io.readline_error_delayed && RegNext(s2_may_report_data_error), 490 RegNext(s3_data_error) // do not update s3_data_error if !s1_fire 491 ) 492 // error signal for amo inst 493 // s3_error = s3_flag_error || s3_tag_error || s3_l2_error || s3_data_error 494 val s3_error = RegEnable(s2_error, s2_fire_to_s3) || s3_data_error 495 val (_, _, probe_new_coh) = s3_coh.onProbe(s3_req.probe_param) 496 val s3_need_replacement = RegEnable(s2_need_replacement, s2_fire_to_s3) 497 val s3_probe_ttob_check_resp = RegEnable(s2_probe_ttob_check_resp, s2_fire_to_s3) 498 499 // duplicate regs to reduce fanout 500 val s3_valid_dup = RegInit(VecInit(Seq.fill(14)(false.B))) 501 val s3_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B))) 502 val s3_way_en_dup = (0 until 4).map(_ => RegEnable(s2_way_en, s2_fire_to_s3)) 503 val s3_coh_dup = (0 until 6).map(_ => RegEnable(s2_coh, s2_fire_to_s3)) 504 val s3_tag_match_dup = RegEnable(s2_tag_match, s2_fire_to_s3) 505 506 val s3_req_vaddr_dup_for_wb, 507 s3_req_vaddr_dup_for_data_write = RegEnable(s2_req.vaddr, s2_fire_to_s3) 508 509 val s3_idx_dup = (0 until 6).map(_ => RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3)) 510 511 val s3_req_replace_dup = (0 until 8).map(_ => RegEnable(s2_req.replace, s2_fire_to_s3)) 512 val s3_req_cmd_dup = (0 until 6).map(_ => RegEnable(s2_req.cmd, s2_fire_to_s3)) 513 val s3_req_source_dup_1, s3_req_source_dup_2 = RegEnable(s2_req.source, s2_fire_to_s3) 514 val s3_req_addr_dup = (0 until 5).map(_ => RegEnable(s2_req.addr, s2_fire_to_s3)) 515 val s3_req_probe_dup = (0 until 10).map(_ => RegEnable(s2_req.probe, s2_fire_to_s3)) 516 val s3_req_miss_dup = (0 until 10).map(_ => RegEnable(s2_req.miss, s2_fire_to_s3)) 517 val s3_req_word_idx_dup = (0 until DCacheBanks).map(_ => RegEnable(s2_req.word_idx, s2_fire_to_s3)) 518 519 val s3_need_replacement_dup = RegEnable(s2_need_replacement, s2_fire_to_s3) 520 521 val s3_s_amoalu_dup = RegInit(VecInit(Seq.fill(3)(false.B))) 522 523 val s3_hit_coh_dup = RegEnable(s2_hit_coh, s2_fire_to_s3) 524 val s3_new_hit_coh_dup = (0 until 2).map(_ => RegEnable(s2_new_hit_coh, s2_fire_to_s3)) 525 val s3_amo_hit_dup = RegEnable(s2_amo_hit, s2_fire_to_s3) 526 val s3_store_hit_dup = (0 until 2).map(_ => RegEnable(s2_store_hit, s2_fire_to_s3)) 527 528 val lrsc_count_dup = RegInit(VecInit(Seq.fill(3)(0.U(log2Ceil(LRSCCycles).W)))) 529 val lrsc_valid_dup = lrsc_count_dup.map { case cnt => cnt > LRSCBackOff.U } 530 val lrsc_addr_dup = Reg(UInt()) 531 532 val s3_req_probe_param_dup = RegEnable(s2_req.probe_param, s2_fire_to_s3) 533 val (_, probe_shrink_param, _) = s3_coh.onProbe(s3_req_probe_param_dup) 534 535 536 val miss_update_meta = s3_req.miss 537 val probe_update_meta = s3_req_probe_dup(0) && s3_tag_match_dup && s3_coh_dup(0) =/= probe_new_coh 538 val store_update_meta = s3_req.isStore && !s3_req_probe_dup(1) && s3_hit_coh =/= s3_new_hit_coh_dup(0) 539 val amo_update_meta = s3_req.isAMO && !s3_req_probe_dup(2) && s3_hit_coh_dup =/= s3_new_hit_coh_dup(1) 540 val amo_wait_amoalu = s3_req.isAMO && s3_req_cmd_dup(0) =/= M_XLR && s3_req_cmd_dup(1) =/= M_XSC 541 val update_meta = (miss_update_meta || probe_update_meta || store_update_meta || amo_update_meta) && !s3_req_replace_dup(0) 542 543 def missCohGen(cmd: UInt, param: UInt, dirty: Bool) = { 544 val c = categorize(cmd) 545 MuxLookup(Cat(c, param, dirty), Nothing, Seq( 546 //(effect param) -> (next) 547 Cat(rd, toB, false.B) -> Branch, 548 Cat(rd, toB, true.B) -> Branch, 549 Cat(rd, toT, false.B) -> Trunk, 550 Cat(rd, toT, true.B) -> Dirty, 551 Cat(wi, toT, false.B) -> Trunk, 552 Cat(wi, toT, true.B) -> Dirty, 553 Cat(wr, toT, false.B) -> Dirty, 554 Cat(wr, toT, true.B) -> Dirty)) 555 } 556 val miss_new_coh = ClientMetadata(missCohGen(s3_req_cmd_dup(2), s3_req.miss_param, s3_req.miss_dirty)) 557 558 // LR, SC and AMO 559 val debug_sc_fail_addr = RegInit(0.U) 560 val debug_sc_fail_cnt = RegInit(0.U(8.W)) 561 val debug_sc_addr_match_fail_cnt = RegInit(0.U(8.W)) 562 563 val lrsc_count = RegInit(0.U(log2Ceil(LRSCCycles).W)) 564 // val lrsc_valid = lrsc_count > LRSCBackOff.U 565 val lrsc_addr = Reg(UInt()) 566 val s3_lr = !s3_req_probe_dup(3) && s3_req.isAMO && s3_req_cmd_dup(3) === M_XLR 567 val s3_sc = !s3_req_probe_dup(4) && s3_req.isAMO && s3_req_cmd_dup(4) === M_XSC 568 val s3_lrsc_addr_match = lrsc_valid_dup(0) && lrsc_addr === get_block_addr(s3_req.addr) 569 val s3_sc_fail = s3_sc && !s3_lrsc_addr_match 570 val debug_s3_sc_fail_addr_match = s3_sc && lrsc_addr === get_block_addr(s3_req.addr) && !lrsc_valid_dup(0) 571 val s3_sc_resp = Mux(s3_sc_fail, 1.U, 0.U) 572 573 val s3_can_do_amo = (s3_req_miss_dup(0) && !s3_req_probe_dup(5) && s3_req.isAMO) || s3_amo_hit 574 val s3_can_do_amo_write = s3_can_do_amo && isWrite(s3_req_cmd_dup(5)) && !s3_sc_fail 575 576 when (s3_valid_dup(0) && (s3_lr || s3_sc)) { 577 when (s3_can_do_amo && s3_lr) { 578 lrsc_count := (LRSCCycles - 1).U 579 lrsc_count_dup.foreach(_ := (LRSCCycles - 1).U) 580 lrsc_addr := get_block_addr(s3_req_addr_dup(0)) 581 lrsc_addr_dup := get_block_addr(s3_req_addr_dup(0)) 582 } .otherwise { 583 lrsc_count := 0.U 584 lrsc_count_dup.foreach(_ := 0.U) 585 } 586 }.elsewhen (io.invalid_resv_set) { 587 // when we release this block, 588 // we invalidate this reservation set 589 lrsc_count := 0.U 590 lrsc_count_dup.foreach(_ := 0.U) 591 }.elsewhen (lrsc_count > 0.U) { 592 lrsc_count := lrsc_count - 1.U 593 lrsc_count_dup.foreach({case cnt => 594 cnt := cnt - 1.U 595 }) 596 } 597 598 io.lrsc_locked_block.valid := lrsc_valid_dup(1) 599 io.lrsc_locked_block.bits := lrsc_addr_dup 600 io.block_lr := RegNext(lrsc_count > 0.U) 601 602 // When we update update_resv_set, block all probe req in the next cycle 603 // It should give Probe reservation set addr compare an independent cycle, 604 // which will lead to better timing 605 io.update_resv_set := s3_valid_dup(1) && s3_lr && s3_can_do_amo 606 607 when (s3_valid_dup(2)) { 608 when (s3_req_addr_dup(1) === debug_sc_fail_addr) { 609 when (s3_sc_fail) { 610 debug_sc_fail_cnt := debug_sc_fail_cnt + 1.U 611 } .elsewhen (s3_sc) { 612 debug_sc_fail_cnt := 0.U 613 } 614 } .otherwise { 615 when (s3_sc_fail) { 616 debug_sc_fail_addr := s3_req_addr_dup(2) 617 debug_sc_fail_cnt := 1.U 618 XSWarn(s3_sc_fail === 100.U, p"L1DCache failed too many SCs in a row 0x${Hexadecimal(debug_sc_fail_addr)}, check if sth went wrong\n") 619 } 620 } 621 } 622 XSWarn(debug_sc_fail_cnt > 100.U, "L1DCache failed too many SCs in a row") 623 624 when (s3_valid_dup(2)) { 625 when (s3_req_addr_dup(1) === debug_sc_fail_addr) { 626 when (debug_s3_sc_fail_addr_match) { 627 debug_sc_addr_match_fail_cnt := debug_sc_addr_match_fail_cnt + 1.U 628 } .elsewhen (s3_sc) { 629 debug_sc_addr_match_fail_cnt := 0.U 630 } 631 } .otherwise { 632 when (s3_sc_fail) { 633 debug_sc_addr_match_fail_cnt := 1.U 634 } 635 } 636 } 637 XSError(debug_sc_addr_match_fail_cnt > 100.U, "L1DCache failed too many SCs in a row, resv set addr always match") 638 639 640 val banked_amo_wmask = UIntToOH(s3_req.word_idx) 641 val update_data = s3_req_miss_dup(2) || s3_store_hit_dup(0) || s3_can_do_amo_write 642 643 // generate write data 644 // AMO hits 645 val s3_s_amoalu = RegInit(false.B) 646 val do_amoalu = amo_wait_amoalu && s3_valid_dup(3) && !s3_s_amoalu 647 val amoalu = Module(new AMOALU(wordBits)) 648 amoalu.io.mask := s3_req.amo_mask 649 amoalu.io.cmd := s3_req.cmd 650 amoalu.io.lhs := s3_data_word 651 amoalu.io.rhs := s3_req.amo_data 652 653 // merge amo write data 654// val amo_bitmask = FillInterleaved(8, s3_req.amo_mask) 655 val s3_amo_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 656 val s3_sc_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 657 for (i <- 0 until DCacheBanks) { 658 val old_data = s3_store_data_merged(i) 659 val new_data = amoalu.io.out 660 val wmask = Mux( 661 s3_req_word_idx_dup(i) === i.U, 662 ~0.U(wordBytes.W), 663 0.U(wordBytes.W) 664 ) 665 s3_amo_data_merged(i) := mergePutData(old_data, new_data, wmask) 666 s3_sc_data_merged(i) := mergePutData(old_data, s3_req.amo_data, 667 Mux(s3_req_word_idx_dup(i) === i.U && !s3_sc_fail, s3_req.amo_mask, 0.U(wordBytes.W)) 668 ) 669 } 670 val s3_amo_data_merged_reg = RegEnable(s3_amo_data_merged, do_amoalu) 671 when(do_amoalu){ 672 s3_s_amoalu := true.B 673 s3_s_amoalu_dup.foreach(_ := true.B) 674 } 675 676 val miss_wb = s3_req_miss_dup(3) && s3_need_replacement && s3_coh_dup(1).state =/= ClientStates.Nothing 677 val miss_wb_dup = s3_req_miss_dup(3) && s3_need_replacement_dup && s3_coh_dup(1).state =/= ClientStates.Nothing 678 val probe_wb = s3_req.probe 679 val replace_wb = s3_req.replace 680 val need_wb = miss_wb_dup || probe_wb || replace_wb 681 682 val (_, miss_shrink_param, _) = s3_coh_dup(2).onCacheControl(M_FLUSH) 683 val writeback_param = Mux(probe_wb, probe_shrink_param, miss_shrink_param) 684 val writeback_data = if (dcacheParameters.alwaysReleaseData) { 685 s3_tag_match && s3_req_probe_dup(6) && s3_req.probe_need_data || 686 s3_coh_dup(3) === ClientStates.Dirty || (miss_wb || replace_wb) && s3_coh_dup(3).state =/= ClientStates.Nothing 687 } else { 688 s3_tag_match && s3_req_probe_dup(6) && s3_req.probe_need_data || s3_coh_dup(3) === ClientStates.Dirty 689 } 690 691 val s3_probe_can_go = s3_req_probe_dup(7) && io.wb.ready && (io.meta_write.ready || !probe_update_meta) 692 val s3_store_can_go = s3_req_source_dup_1 === STORE_SOURCE.U && !s3_req_probe_dup(8) && (io.meta_write.ready || !store_update_meta) && (io.data_write.ready || !update_data) 693 val s3_amo_can_go = s3_amo_hit_dup && (io.meta_write.ready || !amo_update_meta) && (io.data_write.ready || !update_data) && (s3_s_amoalu_dup(0) || !amo_wait_amoalu) 694 val s3_miss_can_go = s3_req_miss_dup(4) && 695 (io.meta_write.ready || !amo_update_meta) && 696 (io.data_write.ready || !update_data) && 697 (s3_s_amoalu_dup(1) || !amo_wait_amoalu) && 698 io.tag_write.ready && 699 io.wb.ready 700 val s3_replace_nothing = s3_req_replace_dup(1) && s3_coh_dup(4).state === ClientStates.Nothing 701 val s3_replace_can_go = s3_req_replace_dup(2) && (s3_replace_nothing || io.wb.ready) 702 val s3_can_go = s3_probe_can_go || s3_store_can_go || s3_amo_can_go || s3_miss_can_go || s3_replace_can_go 703 val s3_update_data_cango = s3_store_can_go || s3_amo_can_go || s3_miss_can_go // used to speed up data_write gen 704 705 // ---------------- duplicate regs for meta_write.valid to solve fanout ---------------- 706 val s3_req_miss_dup_for_meta_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 707 val s3_req_probe_dup_for_meta_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 708 val s3_tag_match_dup_for_meta_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 709 val s3_coh_dup_for_meta_w_valid = RegEnable(s2_coh, s2_fire_to_s3) 710 val s3_req_probe_param_dup_for_meta_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 711 val (_, _, probe_new_coh_dup_for_meta_w_valid) = s3_coh_dup_for_meta_w_valid.onProbe(s3_req_probe_param_dup_for_meta_w_valid) 712 val s3_req_source_dup_for_meta_w_valid = RegEnable(s2_req.source, s2_fire_to_s3) 713 val s3_req_cmd_dup_for_meta_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 714 val s3_req_replace_dup_for_meta_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 715 val s3_hit_coh_dup_for_meta_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 716 val s3_new_hit_coh_dup_for_meta_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 717 718 val miss_update_meta_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid 719 val probe_update_meta_dup_for_meta_w_valid = WireInit(s3_req_probe_dup_for_meta_w_valid && s3_tag_match_dup_for_meta_w_valid && s3_coh_dup_for_meta_w_valid =/= probe_new_coh_dup_for_meta_w_valid) 720 val store_update_meta_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === STORE_SOURCE.U && 721 !s3_req_probe_dup_for_meta_w_valid && 722 s3_hit_coh_dup_for_meta_w_valid =/= s3_new_hit_coh_dup_for_meta_w_valid 723 val amo_update_meta_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && 724 !s3_req_probe_dup_for_meta_w_valid && 725 s3_hit_coh_dup_for_meta_w_valid =/= s3_new_hit_coh_dup_for_meta_w_valid 726 val update_meta_dup_for_meta_w_valid = ( 727 miss_update_meta_dup_for_meta_w_valid || 728 probe_update_meta_dup_for_meta_w_valid || 729 store_update_meta_dup_for_meta_w_valid || 730 amo_update_meta_dup_for_meta_w_valid 731 ) && !s3_req_replace_dup_for_meta_w_valid 732 733 val s3_valid_dup_for_meta_w_valid = RegInit(false.B) 734 val s3_amo_hit_dup_for_meta_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 735 val s3_s_amoalu_dup_for_meta_w_valid = RegInit(false.B) 736 val amo_wait_amoalu_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && 737 s3_req_cmd_dup_for_meta_w_valid =/= M_XLR && 738 s3_req_cmd_dup_for_meta_w_valid =/= M_XSC 739 val do_amoalu_dup_for_meta_w_valid = amo_wait_amoalu_dup_for_meta_w_valid && s3_valid_dup_for_meta_w_valid && !s3_s_amoalu_dup_for_meta_w_valid 740 741 val s3_store_hit_dup_for_meta_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 742 val s3_req_addr_dup_for_meta_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 743 val s3_can_do_amo_dup_for_meta_w_valid = (s3_req_miss_dup_for_meta_w_valid && !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U) || 744 s3_amo_hit_dup_for_meta_w_valid 745 746 val s3_lr_dup_for_meta_w_valid = !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_meta_w_valid === M_XLR 747 val s3_sc_dup_for_meta_w_valid = !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_meta_w_valid === M_XSC 748 val lrsc_addr_dup_for_meta_w_valid = Reg(UInt()) 749 val lrsc_count_dup_for_meta_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 750 751 when (s3_valid_dup_for_meta_w_valid && (s3_lr_dup_for_meta_w_valid || s3_sc_dup_for_meta_w_valid)) { 752 when (s3_can_do_amo_dup_for_meta_w_valid && s3_lr_dup_for_meta_w_valid) { 753 lrsc_count_dup_for_meta_w_valid := (LRSCCycles - 1).U 754 lrsc_addr_dup_for_meta_w_valid := get_block_addr(s3_req_addr_dup_for_meta_w_valid) 755 }.otherwise { 756 lrsc_count_dup_for_meta_w_valid := 0.U 757 } 758 }.elsewhen (io.invalid_resv_set) { 759 lrsc_count_dup_for_meta_w_valid := 0.U 760 }.elsewhen (lrsc_count_dup_for_meta_w_valid > 0.U) { 761 lrsc_count_dup_for_meta_w_valid := lrsc_count_dup_for_meta_w_valid - 1.U 762 } 763 764 val lrsc_valid_dup_for_meta_w_valid = lrsc_count_dup_for_meta_w_valid > LRSCBackOff.U 765 val s3_lrsc_addr_match_dup_for_meta_w_valid = lrsc_valid_dup_for_meta_w_valid && lrsc_addr_dup_for_meta_w_valid === get_block_addr(s3_req_addr_dup_for_meta_w_valid) 766 val s3_sc_fail_dup_for_meta_w_valid = s3_sc_dup_for_meta_w_valid && !s3_lrsc_addr_match_dup_for_meta_w_valid 767 val s3_can_do_amo_write_dup_for_meta_w_valid = s3_can_do_amo_dup_for_meta_w_valid && isWrite(s3_req_cmd_dup_for_meta_w_valid) && !s3_sc_fail_dup_for_meta_w_valid 768 val update_data_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid || s3_store_hit_dup_for_meta_w_valid || s3_can_do_amo_write_dup_for_meta_w_valid 769 770 val s3_probe_can_go_dup_for_meta_w_valid = s3_req_probe_dup_for_meta_w_valid && 771 io.wb_ready_dup(metaWritePort) && 772 (io.meta_write.ready || !probe_update_meta_dup_for_meta_w_valid) 773 val s3_store_can_go_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_meta_w_valid && 774 (io.meta_write.ready || !store_update_meta_dup_for_meta_w_valid) && 775 (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) 776 val s3_amo_can_go_dup_for_meta_w_valid = s3_amo_hit_dup_for_meta_w_valid && 777 (io.meta_write.ready || !amo_update_meta_dup_for_meta_w_valid) && 778 (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) && 779 (s3_s_amoalu_dup_for_meta_w_valid || !amo_wait_amoalu_dup_for_meta_w_valid) 780 val s3_miss_can_go_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid && 781 (io.meta_write.ready || !amo_update_meta_dup_for_meta_w_valid) && 782 (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) && 783 (s3_s_amoalu_dup_for_meta_w_valid || !amo_wait_amoalu_dup_for_meta_w_valid) && 784 io.tag_write_ready_dup(metaWritePort) && 785 io.wb_ready_dup(metaWritePort) 786 val s3_replace_can_go_dup_for_meta_w_valid = s3_req_replace_dup_for_meta_w_valid && 787 (s3_coh_dup_for_meta_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(metaWritePort)) 788 val s3_can_go_dup_for_meta_w_valid = s3_probe_can_go_dup_for_meta_w_valid || 789 s3_store_can_go_dup_for_meta_w_valid || 790 s3_amo_can_go_dup_for_meta_w_valid || 791 s3_miss_can_go_dup_for_meta_w_valid || 792 s3_replace_can_go_dup_for_meta_w_valid 793 794 val s3_fire_dup_for_meta_w_valid = s3_valid_dup_for_meta_w_valid && s3_can_go_dup_for_meta_w_valid 795 when (do_amoalu_dup_for_meta_w_valid) { s3_s_amoalu_dup_for_meta_w_valid := true.B } 796 when (s3_fire_dup_for_meta_w_valid) { s3_s_amoalu_dup_for_meta_w_valid := false.B } 797 798 // fix probe meta change 799 val s3_probe_ttob_override = s3_valid && 800 // s3_probe_ttob_check_resp.valid && 801 s3_probe_ttob_check_resp.bits.toN && 802 s3_coh_dup_for_meta_w_valid === Trunk 803 val s3_probe_new_coh = Mux( 804 s3_probe_ttob_override, 805 ClientMetadata(Nothing), 806 probe_new_coh_dup_for_meta_w_valid 807 ) 808 when(s3_probe_ttob_override) { 809 probe_update_meta_dup_for_meta_w_valid := true.B 810 } 811 812 val new_coh = Mux( 813 miss_update_meta_dup_for_meta_w_valid, 814 miss_new_coh, 815 Mux( 816 probe_update_meta, 817 s3_probe_new_coh, 818 Mux( 819 store_update_meta_dup_for_meta_w_valid || amo_update_meta_dup_for_meta_w_valid, 820 s3_new_hit_coh_dup_for_meta_w_valid, 821 ClientMetadata.onReset 822 ) 823 ) 824 ) 825 826 when (s2_fire_to_s3) { s3_valid_dup_for_meta_w_valid := true.B } 827 .elsewhen (s3_fire_dup_for_meta_w_valid) { s3_valid_dup_for_meta_w_valid := false.B } 828 // ------------------------------------------------------------------------------------- 829 830 // ---------------- duplicate regs for err_write.valid to solve fanout ----------------- 831 val s3_req_miss_dup_for_err_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 832 val s3_req_probe_dup_for_err_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 833 val s3_tag_match_dup_for_err_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 834 val s3_coh_dup_for_err_w_valid = RegEnable(s2_coh, s2_fire_to_s3) 835 val s3_req_probe_param_dup_for_err_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 836 val (_, _, probe_new_coh_dup_for_err_w_valid) = s3_coh_dup_for_err_w_valid.onProbe(s3_req_probe_param_dup_for_err_w_valid) 837 val s3_req_source_dup_for_err_w_valid = RegEnable(s2_req.source, s2_fire_to_s3) 838 val s3_req_cmd_dup_for_err_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 839 val s3_req_replace_dup_for_err_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 840 val s3_hit_coh_dup_for_err_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 841 val s3_new_hit_coh_dup_for_err_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 842 843 val miss_update_meta_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid 844 val probe_update_meta_dup_for_err_w_valid = s3_req_probe_dup_for_err_w_valid && s3_tag_match_dup_for_err_w_valid && s3_coh_dup_for_err_w_valid =/= probe_new_coh_dup_for_err_w_valid 845 val store_update_meta_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === STORE_SOURCE.U && 846 !s3_req_probe_dup_for_err_w_valid && 847 s3_hit_coh_dup_for_err_w_valid =/= s3_new_hit_coh_dup_for_err_w_valid 848 val amo_update_meta_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && 849 !s3_req_probe_dup_for_err_w_valid && 850 s3_hit_coh_dup_for_err_w_valid =/= s3_new_hit_coh_dup_for_err_w_valid 851 val update_meta_dup_for_err_w_valid = ( 852 miss_update_meta_dup_for_err_w_valid || 853 probe_update_meta_dup_for_err_w_valid || 854 store_update_meta_dup_for_err_w_valid || 855 amo_update_meta_dup_for_err_w_valid 856 ) && !s3_req_replace_dup_for_err_w_valid 857 858 val s3_valid_dup_for_err_w_valid = RegInit(false.B) 859 val s3_amo_hit_dup_for_err_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 860 val s3_s_amoalu_dup_for_err_w_valid = RegInit(false.B) 861 val amo_wait_amoalu_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && 862 s3_req_cmd_dup_for_err_w_valid =/= M_XLR && 863 s3_req_cmd_dup_for_err_w_valid =/= M_XSC 864 val do_amoalu_dup_for_err_w_valid = amo_wait_amoalu_dup_for_err_w_valid && s3_valid_dup_for_err_w_valid && !s3_s_amoalu_dup_for_err_w_valid 865 866 val s3_store_hit_dup_for_err_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 867 val s3_req_addr_dup_for_err_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 868 val s3_can_do_amo_dup_for_err_w_valid = (s3_req_miss_dup_for_err_w_valid && !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U) || 869 s3_amo_hit_dup_for_err_w_valid 870 871 val s3_lr_dup_for_err_w_valid = !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_err_w_valid === M_XLR 872 val s3_sc_dup_for_err_w_valid = !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_err_w_valid === M_XSC 873 val lrsc_addr_dup_for_err_w_valid = Reg(UInt()) 874 val lrsc_count_dup_for_err_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 875 876 when (s3_valid_dup_for_err_w_valid && (s3_lr_dup_for_err_w_valid || s3_sc_dup_for_err_w_valid)) { 877 when (s3_can_do_amo_dup_for_err_w_valid && s3_lr_dup_for_err_w_valid) { 878 lrsc_count_dup_for_err_w_valid := (LRSCCycles - 1).U 879 lrsc_addr_dup_for_err_w_valid := get_block_addr(s3_req_addr_dup_for_err_w_valid) 880 }.otherwise { 881 lrsc_count_dup_for_err_w_valid := 0.U 882 } 883 }.elsewhen (io.invalid_resv_set) { 884 lrsc_count_dup_for_err_w_valid := 0.U 885 }.elsewhen (lrsc_count_dup_for_err_w_valid > 0.U) { 886 lrsc_count_dup_for_err_w_valid := lrsc_count_dup_for_err_w_valid - 1.U 887 } 888 889 val lrsc_valid_dup_for_err_w_valid = lrsc_count_dup_for_err_w_valid > LRSCBackOff.U 890 val s3_lrsc_addr_match_dup_for_err_w_valid = lrsc_valid_dup_for_err_w_valid && lrsc_addr_dup_for_err_w_valid === get_block_addr(s3_req_addr_dup_for_err_w_valid) 891 val s3_sc_fail_dup_for_err_w_valid = s3_sc_dup_for_err_w_valid && !s3_lrsc_addr_match_dup_for_err_w_valid 892 val s3_can_do_amo_write_dup_for_err_w_valid = s3_can_do_amo_dup_for_err_w_valid && isWrite(s3_req_cmd_dup_for_err_w_valid) && !s3_sc_fail_dup_for_err_w_valid 893 val update_data_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid || s3_store_hit_dup_for_err_w_valid || s3_can_do_amo_write_dup_for_err_w_valid 894 895 val s3_probe_can_go_dup_for_err_w_valid = s3_req_probe_dup_for_err_w_valid && 896 io.wb_ready_dup(errWritePort) && 897 (io.meta_write.ready || !probe_update_meta_dup_for_err_w_valid) 898 val s3_store_can_go_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_err_w_valid && 899 (io.meta_write.ready || !store_update_meta_dup_for_err_w_valid) && 900 (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) 901 val s3_amo_can_go_dup_for_err_w_valid = s3_amo_hit_dup_for_err_w_valid && 902 (io.meta_write.ready || !amo_update_meta_dup_for_err_w_valid) && 903 (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) && 904 (s3_s_amoalu_dup_for_err_w_valid || !amo_wait_amoalu_dup_for_err_w_valid) 905 val s3_miss_can_go_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid && 906 (io.meta_write.ready || !amo_update_meta_dup_for_err_w_valid) && 907 (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) && 908 (s3_s_amoalu_dup_for_err_w_valid || !amo_wait_amoalu_dup_for_err_w_valid) && 909 io.tag_write_ready_dup(errWritePort) && 910 io.wb_ready_dup(errWritePort) 911 val s3_replace_can_go_dup_for_err_w_valid = s3_req_replace_dup_for_err_w_valid && 912 (s3_coh_dup_for_err_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(errWritePort)) 913 val s3_can_go_dup_for_err_w_valid = s3_probe_can_go_dup_for_err_w_valid || 914 s3_store_can_go_dup_for_err_w_valid || 915 s3_amo_can_go_dup_for_err_w_valid || 916 s3_miss_can_go_dup_for_err_w_valid || 917 s3_replace_can_go_dup_for_err_w_valid 918 919 val s3_fire_dup_for_err_w_valid = s3_valid_dup_for_err_w_valid && s3_can_go_dup_for_err_w_valid 920 when (do_amoalu_dup_for_err_w_valid) { s3_s_amoalu_dup_for_err_w_valid := true.B } 921 when (s3_fire_dup_for_err_w_valid) { s3_s_amoalu_dup_for_err_w_valid := false.B } 922 923 when (s2_fire_to_s3) { s3_valid_dup_for_err_w_valid := true.B } 924 .elsewhen (s3_fire_dup_for_err_w_valid) { s3_valid_dup_for_err_w_valid := false.B } 925 // ------------------------------------------------------------------------------------- 926 // ---------------- duplicate regs for tag_write.valid to solve fanout ----------------- 927 val s3_req_miss_dup_for_tag_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 928 val s3_req_probe_dup_for_tag_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 929 val s3_tag_match_dup_for_tag_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 930 val s3_coh_dup_for_tag_w_valid = RegEnable(s2_coh, s2_fire_to_s3) 931 val s3_req_probe_param_dup_for_tag_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 932 val (_, _, probe_new_coh_dup_for_tag_w_valid) = s3_coh_dup_for_tag_w_valid.onProbe(s3_req_probe_param_dup_for_tag_w_valid) 933 val s3_req_source_dup_for_tag_w_valid = RegEnable(s2_req.source, s2_fire_to_s3) 934 val s3_req_cmd_dup_for_tag_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 935 val s3_req_replace_dup_for_tag_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 936 val s3_hit_coh_dup_for_tag_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 937 val s3_new_hit_coh_dup_for_tag_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 938 939 val miss_update_meta_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid 940 val probe_update_meta_dup_for_tag_w_valid = s3_req_probe_dup_for_tag_w_valid && s3_tag_match_dup_for_tag_w_valid && s3_coh_dup_for_tag_w_valid =/= probe_new_coh_dup_for_tag_w_valid 941 val store_update_meta_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === STORE_SOURCE.U && 942 !s3_req_probe_dup_for_tag_w_valid && 943 s3_hit_coh_dup_for_tag_w_valid =/= s3_new_hit_coh_dup_for_tag_w_valid 944 val amo_update_meta_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && 945 !s3_req_probe_dup_for_tag_w_valid && 946 s3_hit_coh_dup_for_tag_w_valid =/= s3_new_hit_coh_dup_for_tag_w_valid 947 val update_meta_dup_for_tag_w_valid = ( 948 miss_update_meta_dup_for_tag_w_valid || 949 probe_update_meta_dup_for_tag_w_valid || 950 store_update_meta_dup_for_tag_w_valid || 951 amo_update_meta_dup_for_tag_w_valid 952 ) && !s3_req_replace_dup_for_tag_w_valid 953 954 val s3_valid_dup_for_tag_w_valid = RegInit(false.B) 955 val s3_amo_hit_dup_for_tag_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 956 val s3_s_amoalu_dup_for_tag_w_valid = RegInit(false.B) 957 val amo_wait_amoalu_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && 958 s3_req_cmd_dup_for_tag_w_valid =/= M_XLR && 959 s3_req_cmd_dup_for_tag_w_valid =/= M_XSC 960 val do_amoalu_dup_for_tag_w_valid = amo_wait_amoalu_dup_for_tag_w_valid && s3_valid_dup_for_tag_w_valid && !s3_s_amoalu_dup_for_tag_w_valid 961 962 val s3_store_hit_dup_for_tag_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 963 val s3_req_addr_dup_for_tag_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 964 val s3_can_do_amo_dup_for_tag_w_valid = (s3_req_miss_dup_for_tag_w_valid && !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U) || 965 s3_amo_hit_dup_for_tag_w_valid 966 967 val s3_lr_dup_for_tag_w_valid = !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_tag_w_valid === M_XLR 968 val s3_sc_dup_for_tag_w_valid = !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_tag_w_valid === M_XSC 969 val lrsc_addr_dup_for_tag_w_valid = Reg(UInt()) 970 val lrsc_count_dup_for_tag_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 971 972 when (s3_valid_dup_for_tag_w_valid && (s3_lr_dup_for_tag_w_valid || s3_sc_dup_for_tag_w_valid)) { 973 when (s3_can_do_amo_dup_for_tag_w_valid && s3_lr_dup_for_tag_w_valid) { 974 lrsc_count_dup_for_tag_w_valid := (LRSCCycles - 1).U 975 lrsc_addr_dup_for_tag_w_valid := get_block_addr(s3_req_addr_dup_for_tag_w_valid) 976 }.otherwise { 977 lrsc_count_dup_for_tag_w_valid := 0.U 978 } 979 }.elsewhen (io.invalid_resv_set) { 980 lrsc_count_dup_for_tag_w_valid := 0.U 981 }.elsewhen (lrsc_count_dup_for_tag_w_valid > 0.U) { 982 lrsc_count_dup_for_tag_w_valid := lrsc_count_dup_for_tag_w_valid - 1.U 983 } 984 985 val lrsc_valid_dup_for_tag_w_valid = lrsc_count_dup_for_tag_w_valid > LRSCBackOff.U 986 val s3_lrsc_addr_match_dup_for_tag_w_valid = lrsc_valid_dup_for_tag_w_valid && lrsc_addr_dup_for_tag_w_valid === get_block_addr(s3_req_addr_dup_for_tag_w_valid) 987 val s3_sc_fail_dup_for_tag_w_valid = s3_sc_dup_for_tag_w_valid && !s3_lrsc_addr_match_dup_for_tag_w_valid 988 val s3_can_do_amo_write_dup_for_tag_w_valid = s3_can_do_amo_dup_for_tag_w_valid && isWrite(s3_req_cmd_dup_for_tag_w_valid) && !s3_sc_fail_dup_for_tag_w_valid 989 val update_data_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid || s3_store_hit_dup_for_tag_w_valid || s3_can_do_amo_write_dup_for_tag_w_valid 990 991 val s3_probe_can_go_dup_for_tag_w_valid = s3_req_probe_dup_for_tag_w_valid && 992 io.wb_ready_dup(tagWritePort) && 993 (io.meta_write.ready || !probe_update_meta_dup_for_tag_w_valid) 994 val s3_store_can_go_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_tag_w_valid && 995 (io.meta_write.ready || !store_update_meta_dup_for_tag_w_valid) && 996 (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) 997 val s3_amo_can_go_dup_for_tag_w_valid = s3_amo_hit_dup_for_tag_w_valid && 998 (io.meta_write.ready || !amo_update_meta_dup_for_tag_w_valid) && 999 (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) && 1000 (s3_s_amoalu_dup_for_tag_w_valid || !amo_wait_amoalu_dup_for_tag_w_valid) 1001 val s3_miss_can_go_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid && 1002 (io.meta_write.ready || !amo_update_meta_dup_for_tag_w_valid) && 1003 (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) && 1004 (s3_s_amoalu_dup_for_tag_w_valid || !amo_wait_amoalu_dup_for_tag_w_valid) && 1005 io.tag_write_ready_dup(tagWritePort) && 1006 io.wb_ready_dup(tagWritePort) 1007 val s3_replace_can_go_dup_for_tag_w_valid = s3_req_replace_dup_for_tag_w_valid && 1008 (s3_coh_dup_for_tag_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(tagWritePort)) 1009 val s3_can_go_dup_for_tag_w_valid = s3_probe_can_go_dup_for_tag_w_valid || 1010 s3_store_can_go_dup_for_tag_w_valid || 1011 s3_amo_can_go_dup_for_tag_w_valid || 1012 s3_miss_can_go_dup_for_tag_w_valid || 1013 s3_replace_can_go_dup_for_tag_w_valid 1014 1015 val s3_fire_dup_for_tag_w_valid = s3_valid_dup_for_tag_w_valid && s3_can_go_dup_for_tag_w_valid 1016 when (do_amoalu_dup_for_tag_w_valid) { s3_s_amoalu_dup_for_tag_w_valid := true.B } 1017 when (s3_fire_dup_for_tag_w_valid) { s3_s_amoalu_dup_for_tag_w_valid := false.B } 1018 1019 when (s2_fire_to_s3) { s3_valid_dup_for_tag_w_valid := true.B } 1020 .elsewhen (s3_fire_dup_for_tag_w_valid) { s3_valid_dup_for_tag_w_valid := false.B } 1021 // ------------------------------------------------------------------------------------- 1022 // ---------------- duplicate regs for data_write.valid to solve fanout ---------------- 1023 val s3_req_miss_dup_for_data_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 1024 val s3_req_probe_dup_for_data_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 1025 val s3_tag_match_dup_for_data_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 1026 val s3_coh_dup_for_data_w_valid = RegEnable(s2_coh, s2_fire_to_s3) 1027 val s3_req_probe_param_dup_for_data_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 1028 val (_, _, probe_new_coh_dup_for_data_w_valid) = s3_coh_dup_for_data_w_valid.onProbe(s3_req_probe_param_dup_for_data_w_valid) 1029 val s3_req_source_dup_for_data_w_valid = RegEnable(s2_req.source, s2_fire_to_s3) 1030 val s3_req_cmd_dup_for_data_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 1031 val s3_req_replace_dup_for_data_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 1032 val s3_hit_coh_dup_for_data_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 1033 val s3_new_hit_coh_dup_for_data_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 1034 1035 val miss_update_meta_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid 1036 val probe_update_meta_dup_for_data_w_valid = s3_req_probe_dup_for_data_w_valid && s3_tag_match_dup_for_data_w_valid && s3_coh_dup_for_data_w_valid =/= probe_new_coh_dup_for_data_w_valid 1037 val store_update_meta_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === STORE_SOURCE.U && 1038 !s3_req_probe_dup_for_data_w_valid && 1039 s3_hit_coh_dup_for_data_w_valid =/= s3_new_hit_coh_dup_for_data_w_valid 1040 val amo_update_meta_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && 1041 !s3_req_probe_dup_for_data_w_valid && 1042 s3_hit_coh_dup_for_data_w_valid =/= s3_new_hit_coh_dup_for_data_w_valid 1043 val update_meta_dup_for_data_w_valid = ( 1044 miss_update_meta_dup_for_data_w_valid || 1045 probe_update_meta_dup_for_data_w_valid || 1046 store_update_meta_dup_for_data_w_valid || 1047 amo_update_meta_dup_for_data_w_valid 1048 ) && !s3_req_replace_dup_for_data_w_valid 1049 1050 val s3_valid_dup_for_data_w_valid = RegInit(false.B) 1051 val s3_amo_hit_dup_for_data_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 1052 val s3_s_amoalu_dup_for_data_w_valid = RegInit(false.B) 1053 val amo_wait_amoalu_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && 1054 s3_req_cmd_dup_for_data_w_valid =/= M_XLR && 1055 s3_req_cmd_dup_for_data_w_valid =/= M_XSC 1056 val do_amoalu_dup_for_data_w_valid = amo_wait_amoalu_dup_for_data_w_valid && s3_valid_dup_for_data_w_valid && !s3_s_amoalu_dup_for_data_w_valid 1057 1058 val s3_store_hit_dup_for_data_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 1059 val s3_req_addr_dup_for_data_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 1060 val s3_can_do_amo_dup_for_data_w_valid = (s3_req_miss_dup_for_data_w_valid && !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U) || 1061 s3_amo_hit_dup_for_data_w_valid 1062 1063 val s3_lr_dup_for_data_w_valid = !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_valid === M_XLR 1064 val s3_sc_dup_for_data_w_valid = !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_valid === M_XSC 1065 val lrsc_addr_dup_for_data_w_valid = Reg(UInt()) 1066 val lrsc_count_dup_for_data_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 1067 1068 when (s3_valid_dup_for_data_w_valid && (s3_lr_dup_for_data_w_valid || s3_sc_dup_for_data_w_valid)) { 1069 when (s3_can_do_amo_dup_for_data_w_valid && s3_lr_dup_for_data_w_valid) { 1070 lrsc_count_dup_for_data_w_valid := (LRSCCycles - 1).U 1071 lrsc_addr_dup_for_data_w_valid := get_block_addr(s3_req_addr_dup_for_data_w_valid) 1072 }.otherwise { 1073 lrsc_count_dup_for_data_w_valid := 0.U 1074 } 1075 }.elsewhen (io.invalid_resv_set) { 1076 lrsc_count_dup_for_data_w_valid := 0.U 1077 }.elsewhen (lrsc_count_dup_for_data_w_valid > 0.U) { 1078 lrsc_count_dup_for_data_w_valid := lrsc_count_dup_for_data_w_valid - 1.U 1079 } 1080 1081 val lrsc_valid_dup_for_data_w_valid = lrsc_count_dup_for_data_w_valid > LRSCBackOff.U 1082 val s3_lrsc_addr_match_dup_for_data_w_valid = lrsc_valid_dup_for_data_w_valid && lrsc_addr_dup_for_data_w_valid === get_block_addr(s3_req_addr_dup_for_data_w_valid) 1083 val s3_sc_fail_dup_for_data_w_valid = s3_sc_dup_for_data_w_valid && !s3_lrsc_addr_match_dup_for_data_w_valid 1084 val s3_can_do_amo_write_dup_for_data_w_valid = s3_can_do_amo_dup_for_data_w_valid && isWrite(s3_req_cmd_dup_for_data_w_valid) && !s3_sc_fail_dup_for_data_w_valid 1085 val update_data_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid || s3_store_hit_dup_for_data_w_valid || s3_can_do_amo_write_dup_for_data_w_valid 1086 1087 val s3_probe_can_go_dup_for_data_w_valid = s3_req_probe_dup_for_data_w_valid && 1088 io.wb_ready_dup(dataWritePort) && 1089 (io.meta_write.ready || !probe_update_meta_dup_for_data_w_valid) 1090 val s3_store_can_go_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_data_w_valid && 1091 (io.meta_write.ready || !store_update_meta_dup_for_data_w_valid) && 1092 (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) 1093 val s3_amo_can_go_dup_for_data_w_valid = s3_amo_hit_dup_for_data_w_valid && 1094 (io.meta_write.ready || !amo_update_meta_dup_for_data_w_valid) && 1095 (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) && 1096 (s3_s_amoalu_dup_for_data_w_valid || !amo_wait_amoalu_dup_for_data_w_valid) 1097 val s3_miss_can_go_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid && 1098 (io.meta_write.ready || !amo_update_meta_dup_for_data_w_valid) && 1099 (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) && 1100 (s3_s_amoalu_dup_for_data_w_valid || !amo_wait_amoalu_dup_for_data_w_valid) && 1101 io.tag_write_ready_dup(dataWritePort) && 1102 io.wb_ready_dup(dataWritePort) 1103 val s3_replace_can_go_dup_for_data_w_valid = s3_req_replace_dup_for_data_w_valid && 1104 (s3_coh_dup_for_data_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(dataWritePort)) 1105 val s3_can_go_dup_for_data_w_valid = s3_probe_can_go_dup_for_data_w_valid || 1106 s3_store_can_go_dup_for_data_w_valid || 1107 s3_amo_can_go_dup_for_data_w_valid || 1108 s3_miss_can_go_dup_for_data_w_valid || 1109 s3_replace_can_go_dup_for_data_w_valid 1110 val s3_update_data_cango_dup_for_data_w_valid = s3_store_can_go_dup_for_data_w_valid || s3_amo_can_go_dup_for_data_w_valid || s3_miss_can_go_dup_for_data_w_valid 1111 1112 val s3_fire_dup_for_data_w_valid = s3_valid_dup_for_data_w_valid && s3_can_go_dup_for_data_w_valid 1113 when (do_amoalu_dup_for_data_w_valid) { s3_s_amoalu_dup_for_data_w_valid := true.B } 1114 when (s3_fire_dup_for_data_w_valid) { s3_s_amoalu_dup_for_data_w_valid := false.B } 1115 1116 val s3_banked_store_wmask_dup_for_data_w_valid = RegEnable(s2_banked_store_wmask, s2_fire_to_s3) 1117 val s3_req_word_idx_dup_for_data_w_valid = RegEnable(s2_req.word_idx, s2_fire_to_s3) 1118 val banked_wmask = Mux( 1119 s3_req_miss_dup_for_data_w_valid, 1120 banked_full_wmask, 1121 Mux( 1122 s3_store_hit_dup_for_data_w_valid, 1123 s3_banked_store_wmask_dup_for_data_w_valid, 1124 Mux( 1125 s3_can_do_amo_write_dup_for_data_w_valid, 1126 UIntToOH(s3_req_word_idx_dup_for_data_w_valid), 1127 banked_none_wmask 1128 ) 1129 ) 1130 ) 1131 assert(!(s3_valid && banked_wmask.orR && !update_data)) 1132 1133 val s3_sc_data_merged_dup_for_data_w_valid = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 1134 val s3_req_amo_data_dup_for_data_w_valid = RegEnable(s2_req.amo_data, s2_fire_to_s3) 1135 val s3_req_amo_mask_dup_for_data_w_valid = RegEnable(s2_req.amo_mask, s2_fire_to_s3) 1136 for (i <- 0 until DCacheBanks) { 1137 val old_data = s3_store_data_merged(i) 1138 s3_sc_data_merged_dup_for_data_w_valid(i) := mergePutData(old_data, s3_req_amo_data_dup_for_data_w_valid, 1139 Mux( 1140 s3_req_word_idx_dup_for_data_w_valid === i.U && !s3_sc_fail_dup_for_data_w_valid, 1141 s3_req_amo_mask_dup_for_data_w_valid, 1142 0.U(wordBytes.W) 1143 ) 1144 ) 1145 } 1146 1147 when (s2_fire_to_s3) { s3_valid_dup_for_data_w_valid := true.B } 1148 .elsewhen (s3_fire_dup_for_data_w_valid) { s3_valid_dup_for_data_w_valid := false.B } 1149 1150 val s3_valid_dup_for_data_w_bank = RegInit(VecInit(Seq.fill(DCacheBanks)(false.B))) // TODO 1151 val data_write_ready_dup_for_data_w_bank = io.data_write_ready_dup.drop(dataWritePort).take(DCacheBanks) 1152 val tag_write_ready_dup_for_data_w_bank = io.tag_write_ready_dup.drop(dataWritePort).take(DCacheBanks) 1153 val wb_ready_dup_for_data_w_bank = io.wb_ready_dup.drop(dataWritePort).take(DCacheBanks) 1154 for (i <- 0 until DCacheBanks) { 1155 val s3_req_miss_dup_for_data_w_bank = RegEnable(s2_req.miss, s2_fire_to_s3) 1156 val s3_req_probe_dup_for_data_w_bank = RegEnable(s2_req.probe, s2_fire_to_s3) 1157 val s3_tag_match_dup_for_data_w_bank = RegEnable(s2_tag_match, s2_fire_to_s3) 1158 val s3_coh_dup_for_data_w_bank = RegEnable(s2_coh, s2_fire_to_s3) 1159 val s3_req_probe_param_dup_for_data_w_bank = RegEnable(s2_req.probe_param, s2_fire_to_s3) 1160 val (_, _, probe_new_coh_dup_for_data_w_bank) = s3_coh_dup_for_data_w_bank.onProbe(s3_req_probe_param_dup_for_data_w_bank) 1161 val s3_req_source_dup_for_data_w_bank = RegEnable(s2_req.source, s2_fire_to_s3) 1162 val s3_req_cmd_dup_for_data_w_bank = RegEnable(s2_req.cmd, s2_fire_to_s3) 1163 val s3_req_replace_dup_for_data_w_bank = RegEnable(s2_req.replace, s2_fire_to_s3) 1164 val s3_hit_coh_dup_for_data_w_bank = RegEnable(s2_hit_coh, s2_fire_to_s3) 1165 val s3_new_hit_coh_dup_for_data_w_bank = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 1166 1167 val miss_update_meta_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank 1168 val probe_update_meta_dup_for_data_w_bank = s3_req_probe_dup_for_data_w_bank && s3_tag_match_dup_for_data_w_bank && s3_coh_dup_for_data_w_bank =/= probe_new_coh_dup_for_data_w_bank 1169 val store_update_meta_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === STORE_SOURCE.U && 1170 !s3_req_probe_dup_for_data_w_bank && 1171 s3_hit_coh_dup_for_data_w_bank =/= s3_new_hit_coh_dup_for_data_w_bank 1172 val amo_update_meta_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && 1173 !s3_req_probe_dup_for_data_w_bank && 1174 s3_hit_coh_dup_for_data_w_bank =/= s3_new_hit_coh_dup_for_data_w_bank 1175 val update_meta_dup_for_data_w_bank = ( 1176 miss_update_meta_dup_for_data_w_bank || 1177 probe_update_meta_dup_for_data_w_bank || 1178 store_update_meta_dup_for_data_w_bank || 1179 amo_update_meta_dup_for_data_w_bank 1180 ) && !s3_req_replace_dup_for_data_w_bank 1181 1182 val s3_amo_hit_dup_for_data_w_bank = RegEnable(s2_amo_hit, s2_fire_to_s3) 1183 val s3_s_amoalu_dup_for_data_w_bank = RegInit(false.B) 1184 val amo_wait_amoalu_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && 1185 s3_req_cmd_dup_for_data_w_bank =/= M_XLR && 1186 s3_req_cmd_dup_for_data_w_bank =/= M_XSC 1187 val do_amoalu_dup_for_data_w_bank = amo_wait_amoalu_dup_for_data_w_bank && s3_valid_dup_for_data_w_bank(i) && !s3_s_amoalu_dup_for_data_w_bank 1188 1189 val s3_store_hit_dup_for_data_w_bank = RegEnable(s2_store_hit, s2_fire_to_s3) 1190 val s3_req_addr_dup_for_data_w_bank = RegEnable(s2_req.addr, s2_fire_to_s3) 1191 val s3_can_do_amo_dup_for_data_w_bank = (s3_req_miss_dup_for_data_w_bank && !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U) || 1192 s3_amo_hit_dup_for_data_w_bank 1193 1194 val s3_lr_dup_for_data_w_bank = !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_bank === M_XLR 1195 val s3_sc_dup_for_data_w_bank = !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_bank === M_XSC 1196 val lrsc_addr_dup_for_data_w_bank = Reg(UInt()) 1197 val lrsc_count_dup_for_data_w_bank = RegInit(0.U(log2Ceil(LRSCCycles).W)) 1198 1199 when (s3_valid_dup_for_data_w_bank(i) && (s3_lr_dup_for_data_w_bank || s3_sc_dup_for_data_w_bank)) { 1200 when (s3_can_do_amo_dup_for_data_w_bank && s3_lr_dup_for_data_w_bank) { 1201 lrsc_count_dup_for_data_w_bank := (LRSCCycles - 1).U 1202 lrsc_addr_dup_for_data_w_bank := get_block_addr(s3_req_addr_dup_for_data_w_bank) 1203 }.otherwise { 1204 lrsc_count_dup_for_data_w_bank := 0.U 1205 } 1206 }.elsewhen (io.invalid_resv_set) { 1207 lrsc_count_dup_for_data_w_bank := 0.U 1208 }.elsewhen (lrsc_count_dup_for_data_w_bank > 0.U) { 1209 lrsc_count_dup_for_data_w_bank := lrsc_count_dup_for_data_w_bank - 1.U 1210 } 1211 1212 val lrsc_valid_dup_for_data_w_bank = lrsc_count_dup_for_data_w_bank > LRSCBackOff.U 1213 val s3_lrsc_addr_match_dup_for_data_w_bank = lrsc_valid_dup_for_data_w_bank && lrsc_addr_dup_for_data_w_bank === get_block_addr(s3_req_addr_dup_for_data_w_bank) 1214 val s3_sc_fail_dup_for_data_w_bank = s3_sc_dup_for_data_w_bank && !s3_lrsc_addr_match_dup_for_data_w_bank 1215 val s3_can_do_amo_write_dup_for_data_w_bank = s3_can_do_amo_dup_for_data_w_bank && isWrite(s3_req_cmd_dup_for_data_w_bank) && !s3_sc_fail_dup_for_data_w_bank 1216 val update_data_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank || s3_store_hit_dup_for_data_w_bank || s3_can_do_amo_write_dup_for_data_w_bank 1217 1218 val s3_probe_can_go_dup_for_data_w_bank = s3_req_probe_dup_for_data_w_bank && 1219 wb_ready_dup_for_data_w_bank(i) && 1220 (io.meta_write.ready || !probe_update_meta_dup_for_data_w_bank) 1221 val s3_store_can_go_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === STORE_SOURCE.U && !s3_req_probe_dup_for_data_w_bank && 1222 (io.meta_write.ready || !store_update_meta_dup_for_data_w_bank) && 1223 (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) 1224 val s3_amo_can_go_dup_for_data_w_bank = s3_amo_hit_dup_for_data_w_bank && 1225 (io.meta_write.ready || !amo_update_meta_dup_for_data_w_bank) && 1226 (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) && 1227 (s3_s_amoalu_dup_for_data_w_bank || !amo_wait_amoalu_dup_for_data_w_bank) 1228 val s3_miss_can_go_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank && 1229 (io.meta_write.ready || !amo_update_meta_dup_for_data_w_bank) && 1230 (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) && 1231 (s3_s_amoalu_dup_for_data_w_bank || !amo_wait_amoalu_dup_for_data_w_bank) && 1232 tag_write_ready_dup_for_data_w_bank(i) && 1233 wb_ready_dup_for_data_w_bank(i) 1234 val s3_replace_can_go_dup_for_data_w_bank = s3_req_replace_dup_for_data_w_bank && 1235 (s3_coh_dup_for_data_w_bank.state === ClientStates.Nothing || wb_ready_dup_for_data_w_bank(i)) 1236 val s3_can_go_dup_for_data_w_bank = s3_probe_can_go_dup_for_data_w_bank || 1237 s3_store_can_go_dup_for_data_w_bank || 1238 s3_amo_can_go_dup_for_data_w_bank || 1239 s3_miss_can_go_dup_for_data_w_bank || 1240 s3_replace_can_go_dup_for_data_w_bank 1241 val s3_update_data_cango_dup_for_data_w_bank = s3_store_can_go_dup_for_data_w_bank || s3_amo_can_go_dup_for_data_w_bank || s3_miss_can_go_dup_for_data_w_bank 1242 1243 val s3_fire_dup_for_data_w_bank = s3_valid_dup_for_data_w_bank(i) && s3_can_go_dup_for_data_w_bank 1244 1245 when (do_amoalu_dup_for_data_w_bank) { s3_s_amoalu_dup_for_data_w_bank := true.B } 1246 when (s3_fire_dup_for_data_w_bank) { s3_s_amoalu_dup_for_data_w_bank := false.B } 1247 1248 when (s2_fire_to_s3) { s3_valid_dup_for_data_w_bank(i) := true.B } 1249 .elsewhen (s3_fire_dup_for_data_w_bank) { s3_valid_dup_for_data_w_bank(i) := false.B } 1250 1251 io.data_write_dup(i).valid := s3_valid_dup_for_data_w_bank(i) && s3_update_data_cango_dup_for_data_w_bank && update_data_dup_for_data_w_bank 1252 io.data_write_dup(i).bits.way_en := RegEnable(s2_way_en, s2_fire_to_s3) 1253 io.data_write_dup(i).bits.addr := RegEnable(s2_req.vaddr, s2_fire_to_s3) 1254 } 1255 // ------------------------------------------------------------------------------------- 1256 1257 // ---------------- duplicate regs for wb.valid to solve fanout ---------------- 1258 val s3_req_miss_dup_for_wb_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 1259 val s3_req_probe_dup_for_wb_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 1260 val s3_tag_match_dup_for_wb_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 1261 val s3_coh_dup_for_wb_valid = RegEnable(s2_coh, s2_fire_to_s3) 1262 val s3_req_probe_param_dup_for_wb_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 1263 val (_, _, probe_new_coh_dup_for_wb_valid) = s3_coh_dup_for_wb_valid.onProbe(s3_req_probe_param_dup_for_wb_valid) 1264 val s3_req_source_dup_for_wb_valid = RegEnable(s2_req.source, s2_fire_to_s3) 1265 val s3_req_cmd_dup_for_wb_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 1266 val s3_req_replace_dup_for_wb_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 1267 val s3_hit_coh_dup_for_wb_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 1268 val s3_new_hit_coh_dup_for_wb_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 1269 1270 val miss_update_meta_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid 1271 val probe_update_meta_dup_for_wb_valid = s3_req_probe_dup_for_wb_valid && s3_tag_match_dup_for_wb_valid && s3_coh_dup_for_wb_valid =/= probe_new_coh_dup_for_wb_valid 1272 val store_update_meta_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === STORE_SOURCE.U && 1273 !s3_req_probe_dup_for_wb_valid && 1274 s3_hit_coh_dup_for_wb_valid =/= s3_new_hit_coh_dup_for_wb_valid 1275 val amo_update_meta_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && 1276 !s3_req_probe_dup_for_wb_valid && 1277 s3_hit_coh_dup_for_wb_valid =/= s3_new_hit_coh_dup_for_wb_valid 1278 val update_meta_dup_for_wb_valid = ( 1279 miss_update_meta_dup_for_wb_valid || 1280 probe_update_meta_dup_for_wb_valid || 1281 store_update_meta_dup_for_wb_valid || 1282 amo_update_meta_dup_for_wb_valid 1283 ) && !s3_req_replace_dup_for_wb_valid 1284 1285 val s3_valid_dup_for_wb_valid = RegInit(false.B) 1286 val s3_amo_hit_dup_for_wb_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 1287 val s3_s_amoalu_dup_for_wb_valid = RegInit(false.B) 1288 val amo_wait_amoalu_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && 1289 s3_req_cmd_dup_for_wb_valid =/= M_XLR && 1290 s3_req_cmd_dup_for_wb_valid =/= M_XSC 1291 val do_amoalu_dup_for_wb_valid = amo_wait_amoalu_dup_for_wb_valid && s3_valid_dup_for_wb_valid && !s3_s_amoalu_dup_for_wb_valid 1292 1293 val s3_store_hit_dup_for_wb_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 1294 val s3_req_addr_dup_for_wb_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 1295 val s3_can_do_amo_dup_for_wb_valid = (s3_req_miss_dup_for_wb_valid && !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U) || 1296 s3_amo_hit_dup_for_wb_valid 1297 1298 val s3_lr_dup_for_wb_valid = !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_wb_valid === M_XLR 1299 val s3_sc_dup_for_wb_valid = !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_wb_valid === M_XSC 1300 val lrsc_addr_dup_for_wb_valid = Reg(UInt()) 1301 val lrsc_count_dup_for_wb_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 1302 1303 when (s3_valid_dup_for_wb_valid && (s3_lr_dup_for_wb_valid || s3_sc_dup_for_wb_valid)) { 1304 when (s3_can_do_amo_dup_for_wb_valid && s3_lr_dup_for_wb_valid) { 1305 lrsc_count_dup_for_wb_valid := (LRSCCycles - 1).U 1306 lrsc_addr_dup_for_wb_valid := get_block_addr(s3_req_addr_dup_for_wb_valid) 1307 }.otherwise { 1308 lrsc_count_dup_for_wb_valid := 0.U 1309 } 1310 }.elsewhen (io.invalid_resv_set) { 1311 lrsc_count_dup_for_wb_valid := 0.U 1312 }.elsewhen (lrsc_count_dup_for_wb_valid > 0.U) { 1313 lrsc_count_dup_for_wb_valid := lrsc_count_dup_for_wb_valid - 1.U 1314 } 1315 1316 val lrsc_valid_dup_for_wb_valid = lrsc_count_dup_for_wb_valid > LRSCBackOff.U 1317 val s3_lrsc_addr_match_dup_for_wb_valid = lrsc_valid_dup_for_wb_valid && lrsc_addr_dup_for_wb_valid === get_block_addr(s3_req_addr_dup_for_wb_valid) 1318 val s3_sc_fail_dup_for_wb_valid = s3_sc_dup_for_wb_valid && !s3_lrsc_addr_match_dup_for_wb_valid 1319 val s3_can_do_amo_write_dup_for_wb_valid = s3_can_do_amo_dup_for_wb_valid && isWrite(s3_req_cmd_dup_for_wb_valid) && !s3_sc_fail_dup_for_wb_valid 1320 val update_data_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid || s3_store_hit_dup_for_wb_valid || s3_can_do_amo_write_dup_for_wb_valid 1321 1322 val s3_probe_can_go_dup_for_wb_valid = s3_req_probe_dup_for_wb_valid && 1323 io.wb_ready_dup(wbPort) && 1324 (io.meta_write.ready || !probe_update_meta_dup_for_wb_valid) 1325 val s3_store_can_go_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_wb_valid && 1326 (io.meta_write.ready || !store_update_meta_dup_for_wb_valid) && 1327 (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) 1328 val s3_amo_can_go_dup_for_wb_valid = s3_amo_hit_dup_for_wb_valid && 1329 (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) && 1330 (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) && 1331 (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) 1332 val s3_miss_can_go_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid && 1333 (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) && 1334 (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) && 1335 (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) && 1336 io.tag_write_ready_dup(wbPort) && 1337 io.wb_ready_dup(wbPort) 1338 val s3_replace_can_go_dup_for_wb_valid = s3_req_replace_dup_for_wb_valid && 1339 (s3_coh_dup_for_wb_valid.state === ClientStates.Nothing || io.wb_ready_dup(wbPort)) 1340 val s3_can_go_dup_for_wb_valid = s3_probe_can_go_dup_for_wb_valid || 1341 s3_store_can_go_dup_for_wb_valid || 1342 s3_amo_can_go_dup_for_wb_valid || 1343 s3_miss_can_go_dup_for_wb_valid || 1344 s3_replace_can_go_dup_for_wb_valid 1345 val s3_update_data_cango_dup_for_wb_valid = s3_store_can_go_dup_for_wb_valid || s3_amo_can_go_dup_for_wb_valid || s3_miss_can_go_dup_for_wb_valid 1346 1347 val s3_fire_dup_for_wb_valid = s3_valid_dup_for_wb_valid && s3_can_go_dup_for_wb_valid 1348 when (do_amoalu_dup_for_wb_valid) { s3_s_amoalu_dup_for_wb_valid := true.B } 1349 when (s3_fire_dup_for_wb_valid) { s3_s_amoalu_dup_for_wb_valid := false.B } 1350 1351 val s3_banked_store_wmask_dup_for_wb_valid = RegEnable(s2_banked_store_wmask, s2_fire_to_s3) 1352 val s3_req_word_idx_dup_for_wb_valid = RegEnable(s2_req.word_idx, s2_fire_to_s3) 1353 val s3_replace_nothing_dup_for_wb_valid = s3_req_replace_dup_for_wb_valid && s3_coh_dup_for_wb_valid.state === ClientStates.Nothing 1354 1355 val s3_sc_data_merged_dup_for_wb_valid = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 1356 val s3_req_amo_data_dup_for_wb_valid = RegEnable(s2_req.amo_data, s2_fire_to_s3) 1357 val s3_req_amo_mask_dup_for_wb_valid = RegEnable(s2_req.amo_mask, s2_fire_to_s3) 1358 for (i <- 0 until DCacheBanks) { 1359 val old_data = s3_store_data_merged(i) 1360 s3_sc_data_merged_dup_for_wb_valid(i) := mergePutData(old_data, s3_req_amo_data_dup_for_wb_valid, 1361 Mux( 1362 s3_req_word_idx_dup_for_wb_valid === i.U && !s3_sc_fail_dup_for_wb_valid, 1363 s3_req_amo_mask_dup_for_wb_valid, 1364 0.U(wordBytes.W) 1365 ) 1366 ) 1367 } 1368 1369 val s3_need_replacement_dup_for_wb_valid = RegEnable(s2_need_replacement, s2_fire_to_s3) 1370 val miss_wb_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid && s3_need_replacement_dup_for_wb_valid && 1371 s3_coh_dup_for_wb_valid.state =/= ClientStates.Nothing 1372 val need_wb_dup_for_wb_valid = miss_wb_dup_for_wb_valid || s3_req_probe_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid 1373 1374 val s3_tag_dup_for_wb_valid = RegEnable(s2_tag, s2_fire_to_s3) 1375 1376 val (_, probe_shrink_param_dup_for_wb_valid, _) = s3_coh_dup_for_wb_valid.onProbe(s3_req_probe_param_dup_for_wb_valid) 1377 val (_, miss_shrink_param_dup_for_wb_valid, _) = s3_coh_dup_for_wb_valid.onCacheControl(M_FLUSH) 1378 val writeback_param_dup_for_wb_valid = Mux( 1379 s3_req_probe_dup_for_wb_valid, 1380 probe_shrink_param_dup_for_wb_valid, 1381 miss_shrink_param_dup_for_wb_valid 1382 ) 1383 val writeback_data_dup_for_wb_valid = if (dcacheParameters.alwaysReleaseData) { 1384 s3_tag_match_dup_for_wb_valid && s3_req_probe_dup_for_wb_valid && RegEnable(s2_req.probe_need_data, s2_fire_to_s3) || 1385 s3_coh_dup_for_wb_valid === ClientStates.Dirty || (miss_wb_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid) && s3_coh_dup_for_wb_valid.state =/= ClientStates.Nothing 1386 } else { 1387 s3_tag_match_dup_for_wb_valid && s3_req_probe_dup_for_wb_valid && RegEnable(s2_req.probe_need_data, s2_fire_to_s3) || s3_coh_dup_for_wb_valid === ClientStates.Dirty 1388 } 1389 1390 when (s2_fire_to_s3) { s3_valid_dup_for_wb_valid := true.B } 1391 .elsewhen (s3_fire_dup_for_wb_valid) { s3_valid_dup_for_wb_valid := false.B } 1392 1393 // ------------------------------------------------------------------------------------- 1394 1395 val s3_fire = s3_valid_dup(4) && s3_can_go 1396 when (s2_fire_to_s3) { 1397 s3_valid := true.B 1398 s3_valid_dup.foreach(_ := true.B) 1399 s3_valid_dup_for_status.foreach(_ := true.B) 1400 }.elsewhen (s3_fire) { 1401 s3_valid := false.B 1402 s3_valid_dup.foreach(_ := false.B) 1403 s3_valid_dup_for_status.foreach(_ := false.B) 1404 } 1405 s3_ready := !s3_valid_dup(5) || s3_can_go 1406 s3_s0_set_conflict := s3_valid_dup(6) && s3_idx_dup(0) === s0_idx 1407 s3_s0_set_conflict_store := s3_valid_dup(7) && s3_idx_dup(1) === store_idx 1408 assert(RegNext(!s3_valid || !(s3_req_source_dup_2 === STORE_SOURCE.U && !s3_req.probe) || s3_hit)) // miss store should never come to s3 1409 1410 when(s3_fire) { 1411 s3_s_amoalu := false.B 1412 s3_s_amoalu_dup.foreach(_ := false.B) 1413 } 1414 1415 req.ready := s0_can_go 1416 1417 io.meta_read.valid := req.valid && s1_ready && !set_conflict 1418 io.meta_read.bits.idx := get_idx(s0_req.vaddr) 1419 io.meta_read.bits.way_en := Mux(s0_req.replace, s0_req.replace_way_en, ~0.U(nWays.W)) 1420 1421 io.tag_read.valid := req.valid && s1_ready && !set_conflict && !s0_req.replace 1422 io.tag_read.bits.idx := get_idx(s0_req.vaddr) 1423 io.tag_read.bits.way_en := ~0.U(nWays.W) 1424 1425 io.data_read_intend := s1_valid_dup(3) && s1_need_data 1426 io.data_readline.valid := s1_valid_dup(4) && s1_need_data 1427 io.data_readline.bits.rmask := s1_banked_rmask 1428 io.data_readline.bits.way_en := s1_way_en 1429 io.data_readline.bits.addr := s1_req_vaddr_dup_for_data_read 1430 1431 io.miss_req.valid := s2_valid_dup(4) && s2_can_go_to_mq_dup(0) 1432 val miss_req = io.miss_req.bits 1433 miss_req := DontCare 1434 miss_req.source := s2_req.source 1435 miss_req.cmd := s2_req.cmd 1436 miss_req.addr := s2_req.addr 1437 miss_req.vaddr := s2_req_vaddr_dup_for_miss_req 1438 miss_req.way_en := Mux(s2_tag_match, s2_tag_match_way, s2_repl_way_en) 1439 miss_req.store_data := s2_req.store_data 1440 miss_req.store_mask := s2_req.store_mask 1441 miss_req.word_idx := s2_req.word_idx 1442 miss_req.amo_data := s2_req.amo_data 1443 miss_req.amo_mask := s2_req.amo_mask 1444 miss_req.req_coh := s2_hit_coh 1445 miss_req.replace_coh := s2_repl_coh 1446 miss_req.replace_tag := s2_repl_tag 1447 miss_req.id := s2_req.id 1448 miss_req.cancel := false.B 1449 miss_req.pc := DontCare 1450 1451 io.store_replay_resp.valid := s2_valid_dup(5) && s2_can_go_to_mq_dup(1) && replay && s2_req.isStore 1452 io.store_replay_resp.bits.data := DontCare 1453 io.store_replay_resp.bits.miss := true.B 1454 io.store_replay_resp.bits.replay := true.B 1455 io.store_replay_resp.bits.id := s2_req.id 1456 1457 io.store_hit_resp.valid := s3_valid_dup(8) && s3_store_can_go 1458 io.store_hit_resp.bits.data := DontCare 1459 io.store_hit_resp.bits.miss := false.B 1460 io.store_hit_resp.bits.replay := false.B 1461 io.store_hit_resp.bits.id := s3_req.id 1462 1463 io.release_update.valid := s3_valid_dup(9) && (s3_store_can_go || s3_amo_can_go) && s3_hit && update_data 1464 io.release_update.bits.addr := s3_req_addr_dup(3) 1465 io.release_update.bits.mask := Mux(s3_store_hit_dup(1), s3_banked_store_wmask, banked_amo_wmask) 1466 io.release_update.bits.data := Mux( 1467 amo_wait_amoalu, 1468 s3_amo_data_merged_reg, 1469 Mux( 1470 s3_sc, 1471 s3_sc_data_merged, 1472 s3_store_data_merged 1473 ) 1474 ).asUInt 1475 1476 val atomic_hit_resp = Wire(new AtomicsResp) 1477 atomic_hit_resp.data := Mux(s3_sc, s3_sc_resp, s3_data_word) 1478 atomic_hit_resp.miss := false.B 1479 atomic_hit_resp.miss_id := s3_req.miss_id 1480 atomic_hit_resp.error := s3_error 1481 atomic_hit_resp.replay := false.B 1482 atomic_hit_resp.ack_miss_queue := s3_req_miss_dup(5) 1483 atomic_hit_resp.id := lrsc_valid_dup(2) 1484 val atomic_replay_resp = Wire(new AtomicsResp) 1485 atomic_replay_resp.data := DontCare 1486 atomic_replay_resp.miss := true.B 1487 atomic_replay_resp.miss_id := DontCare 1488 atomic_replay_resp.error := false.B 1489 atomic_replay_resp.replay := true.B 1490 atomic_replay_resp.ack_miss_queue := false.B 1491 atomic_replay_resp.id := DontCare 1492 val atomic_replay_resp_valid = s2_valid_dup(6) && s2_can_go_to_mq_dup(2) && replay && s2_req.isAMO 1493 val atomic_hit_resp_valid = s3_valid_dup(10) && (s3_amo_can_go || s3_miss_can_go && s3_req.isAMO) 1494 io.atomic_resp.valid := atomic_replay_resp_valid || atomic_hit_resp_valid 1495 io.atomic_resp.bits := Mux(atomic_replay_resp_valid, atomic_replay_resp, atomic_hit_resp) 1496 1497 io.replace_resp.valid := s3_fire && s3_req_replace_dup(3) 1498 io.replace_resp.bits := s3_req.miss_id 1499 1500 io.meta_write.valid := s3_fire_dup_for_meta_w_valid && update_meta_dup_for_meta_w_valid 1501 io.meta_write.bits.idx := s3_idx_dup(2) 1502 io.meta_write.bits.way_en := s3_way_en_dup(0) 1503 io.meta_write.bits.meta.coh := new_coh 1504 1505 io.error_flag_write.valid := s3_fire_dup_for_err_w_valid && update_meta_dup_for_err_w_valid && s3_l2_error 1506 io.error_flag_write.bits.idx := s3_idx_dup(3) 1507 io.error_flag_write.bits.way_en := s3_way_en_dup(1) 1508 io.error_flag_write.bits.flag := s3_l2_error 1509 1510 // if we use (prefetch_flag && meta =/= ClientStates.Nothing) for prefetch check 1511 // prefetch_flag_write can be omited 1512 // io.prefetch_flag_write.valid := io.meta_write.valid && new_coh === ClientStates.Nothing 1513 // io.prefetch_flag_write.bits.idx := s3_idx_dup(3) 1514 // io.prefetch_flag_write.bits.way_en := s3_way_en_dup(1) 1515 // io.prefetch_flag_write.bits.flag := false.B 1516 io.prefetch_flag_write.valid := false.B 1517 io.prefetch_flag_write.bits := DontCare 1518 1519 // probe / replace will not update access bit 1520 io.access_flag_write.valid := s3_fire_dup_for_meta_w_valid && !s3_req.probe && !s3_req.replace 1521 io.access_flag_write.bits.idx := s3_idx_dup(3) 1522 io.access_flag_write.bits.way_en := s3_way_en_dup(1) 1523 io.access_flag_write.bits.flag := true.B 1524 1525 io.tag_write.valid := s3_fire_dup_for_tag_w_valid && s3_req_miss_dup_for_tag_w_valid 1526 io.tag_write.bits.idx := s3_idx_dup(4) 1527 io.tag_write.bits.way_en := s3_way_en_dup(2) 1528 io.tag_write.bits.tag := get_tag(s3_req_addr_dup(4)) 1529 1530 io.tag_write_intend := s3_req_miss_dup(7) && s3_valid_dup(11) 1531 XSPerfAccumulate("fake_tag_write_intend", io.tag_write_intend && !io.tag_write.valid) 1532 XSPerfAccumulate("mainpipe_tag_write", io.tag_write.valid) 1533 1534 assert(!RegNext(io.tag_write.valid && !io.tag_write_intend)) 1535 1536 io.data_write.valid := s3_valid_dup_for_data_w_valid && s3_update_data_cango_dup_for_data_w_valid && update_data_dup_for_data_w_valid 1537 io.data_write.bits.way_en := s3_way_en_dup(3) 1538 io.data_write.bits.addr := s3_req_vaddr_dup_for_data_write 1539 io.data_write.bits.wmask := banked_wmask 1540 io.data_write.bits.data := Mux( 1541 amo_wait_amoalu_dup_for_data_w_valid, 1542 s3_amo_data_merged_reg, 1543 Mux( 1544 s3_sc_dup_for_data_w_valid, 1545 s3_sc_data_merged_dup_for_data_w_valid, 1546 s3_store_data_merged 1547 ) 1548 ) 1549 assert(RegNext(!io.meta_write.valid || !s3_req.replace)) 1550 assert(RegNext(!io.tag_write.valid || !s3_req.replace)) 1551 assert(RegNext(!io.data_write.valid || !s3_req.replace)) 1552 1553 io.wb.valid := s3_valid_dup_for_wb_valid && ( 1554 // replace 1555 s3_req_replace_dup_for_wb_valid && !s3_replace_nothing_dup_for_wb_valid || 1556 // probe can go to wbq 1557 s3_req_probe_dup_for_wb_valid && (io.meta_write.ready || !probe_update_meta_dup_for_wb_valid) || 1558 // amo miss can go to wbq 1559 s3_req_miss_dup_for_wb_valid && 1560 (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) && 1561 (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) && 1562 (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) && 1563 io.tag_write_ready_dup(wbPort) 1564 ) && need_wb_dup_for_wb_valid 1565 1566 io.wb.bits.addr := get_block_addr(Cat(s3_tag_dup_for_wb_valid, get_untag(s3_req.vaddr))) 1567 io.wb.bits.param := writeback_param_dup_for_wb_valid 1568 io.wb.bits.voluntary := s3_req_miss_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid 1569 io.wb.bits.hasData := writeback_data_dup_for_wb_valid 1570 io.wb.bits.dirty := s3_coh_dup_for_wb_valid === ClientStates.Dirty 1571 io.wb.bits.data := s3_data.asUInt() 1572 io.wb.bits.delay_release := s3_req_replace_dup_for_wb_valid 1573 io.wb.bits.miss_id := s3_req.miss_id 1574 1575 // update plru in main pipe s3 1576 if (!cfg.updateReplaceOn2ndmiss) { 1577 // replacement is only updated on 1st miss 1578 io.replace_access.valid := RegNext( 1579 // generated in mainpipe s1 1580 RegNext(s1_fire && (s1_req.isAMO || s1_req.isStore) && !s1_req.probe) && 1581 // generated in mainpipe s2 1582 Mux( 1583 io.miss_req.valid, 1584 !io.miss_resp.merged && io.miss_req.ready, // if store miss, only update plru for the first miss 1585 true.B // normal store access 1586 ) 1587 ) 1588 io.replace_access.bits.set := RegNext(s2_idx_dup_for_replace_access) 1589 io.replace_access.bits.way := RegNext(RegNext(OHToUInt(s1_way_en))) 1590 } else { 1591 // replacement is updated on both 1st and 2nd miss 1592 // timing is worse than !cfg.updateReplaceOn2ndmiss 1593 io.replace_access.valid := RegNext( 1594 // generated in mainpipe s1 1595 RegNext(s1_fire && (s1_req.isAMO || s1_req.isStore) && !s1_req.probe) && 1596 // generated in mainpipe s2 1597 Mux( 1598 io.miss_req.valid, 1599 io.miss_req.ready, // if store miss, do not update plru if that req needs to be replayed 1600 true.B // normal store access 1601 ) 1602 ) 1603 io.replace_access.bits.set := RegNext(s2_idx_dup_for_replace_access) 1604 io.replace_access.bits.way := RegNext( 1605 Mux( 1606 io.miss_req.valid && io.miss_resp.merged, 1607 // miss queue 2nd fire: access replace way selected at miss queue allocate time 1608 OHToUInt(io.miss_resp.repl_way_en), 1609 // new selected replace way or hit way 1610 RegNext(OHToUInt(s1_way_en)) 1611 ) 1612 ) 1613 } 1614 1615 io.replace_way.set.valid := RegNext(s0_fire) 1616 io.replace_way.set.bits := s1_idx_dup_for_replace_way 1617 1618 // TODO: consider block policy of a finer granularity 1619 io.status.s0_set.valid := req.valid 1620 io.status.s0_set.bits := get_idx(s0_req.vaddr) 1621 io.status.s1.valid := s1_valid_dup(5) 1622 io.status.s1.bits.set := s1_idx 1623 io.status.s1.bits.way_en := s1_way_en 1624 io.status.s2.valid := s2_valid_dup(7) && !s2_req_replace_dup_2 1625 io.status.s2.bits.set := s2_idx_dup_for_status 1626 io.status.s2.bits.way_en := s2_way_en 1627 io.status.s3.valid := s3_valid && !s3_req_replace_dup(7) 1628 io.status.s3.bits.set := s3_idx_dup(5) 1629 io.status.s3.bits.way_en := s3_way_en 1630 1631 for ((s, i) <- io.status_dup.zipWithIndex) { 1632 s.s1.valid := s1_valid_dup_for_status(i) 1633 s.s1.bits.set := RegEnable(get_idx(s0_req.vaddr), s0_fire) 1634 s.s1.bits.way_en := s1_way_en 1635 s.s2.valid := s2_valid_dup_for_status(i) && !RegEnable(s1_req.replace, s1_fire) 1636 s.s2.bits.set := RegEnable(get_idx(s1_req.vaddr), s1_fire) 1637 s.s2.bits.way_en := RegEnable(s1_way_en, s1_fire) 1638 s.s3.valid := s3_valid_dup_for_status(i) && !RegEnable(s2_req.replace, s2_fire_to_s3) 1639 s.s3.bits.set := RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3) 1640 s.s3.bits.way_en := RegEnable(s2_way_en, s2_fire_to_s3) 1641 } 1642 dontTouch(io.status_dup) 1643 1644 // report error to beu and csr, 1 cycle after read data resp 1645 io.error := 0.U.asTypeOf(new L1CacheErrorInfo()) 1646 // report error, update error csr 1647 io.error.valid := s3_error && RegNext(s2_fire) 1648 // only tag_error and data_error will be reported to beu 1649 // l2_error should not be reported (l2 will report that) 1650 io.error.report_to_beu := (RegEnable(s2_tag_error, s2_fire) || s3_data_error) && RegNext(s2_fire) 1651 io.error.paddr := RegEnable(s2_req.addr, s2_fire) 1652 io.error.source.tag := RegEnable(s2_tag_error, s2_fire) 1653 io.error.source.data := s3_data_error 1654 io.error.source.l2 := RegEnable(s2_flag_error || s2_l2_error, s2_fire) 1655 io.error.opType.store := RegEnable(s2_req.isStore && !s2_req.probe, s2_fire) 1656 io.error.opType.probe := RegEnable(s2_req.probe, s2_fire) 1657 io.error.opType.release := RegEnable(s2_req.replace, s2_fire) 1658 io.error.opType.atom := RegEnable(s2_req.isAMO && !s2_req.probe, s2_fire) 1659 1660 val perfEvents = Seq( 1661 ("dcache_mp_req ", s0_fire ), 1662 ("dcache_mp_total_penalty", PopCount(VecInit(Seq(s0_fire, s1_valid, s2_valid, s3_valid)))) 1663 ) 1664 generatePerfEvent() 1665} 1666