xref: /XiangShan/src/main/scala/xiangshan/cache/dcache/mainpipe/MainPipe.scala (revision d0de7e4a4bcd4633260dda99dfedc2a5e543b8b4)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import freechips.rocketchip.tilelink.ClientStates._
23import freechips.rocketchip.tilelink.MemoryOpCategories._
24import freechips.rocketchip.tilelink.TLPermissions._
25import freechips.rocketchip.tilelink.{ClientMetadata, ClientStates, TLPermissions}
26import utils._
27import utility._
28import xiangshan.{L1CacheErrorInfo, XSCoreParamsKey}
29import xiangshan.mem.HasL1PrefetchSourceParameter
30
31class MainPipeReq(implicit p: Parameters) extends DCacheBundle {
32  val miss = Bool() // only amo miss will refill in main pipe
33  val miss_id = UInt(log2Up(cfg.nMissEntries).W)
34  val miss_param = UInt(TLPermissions.bdWidth.W)
35  val miss_dirty = Bool()
36  val miss_way_en = UInt(DCacheWays.W)
37
38  val probe = Bool()
39  val probe_param = UInt(TLPermissions.bdWidth.W)
40  val probe_need_data = Bool()
41
42  // request info
43  // reqs from Store, AMO use this
44  // probe does not use this
45  val source = UInt(sourceTypeWidth.W)
46  val cmd = UInt(M_SZ.W)
47  // if dcache size > 32KB, vaddr is also needed for store
48  // vaddr is used to get extra index bits
49  val vaddr  = UInt(VAddrBits.W)
50  // must be aligned to block
51  val addr   = UInt(PAddrBits.W)
52
53  // store
54  val store_data = UInt((cfg.blockBytes * 8).W)
55  val store_mask = UInt(cfg.blockBytes.W)
56
57  // which word does amo work on?
58  val word_idx = UInt(log2Up(cfg.blockBytes * 8 / DataBits).W)
59  val amo_data   = UInt(DataBits.W)
60  val amo_mask   = UInt((DataBits / 8).W)
61
62  // error
63  val error = Bool()
64
65  // replace
66  val replace = Bool()
67  val replace_way_en = UInt(DCacheWays.W)
68
69  val id = UInt(reqIdWidth.W)
70
71  def isLoad: Bool = source === LOAD_SOURCE.U
72  def isStore: Bool = source === STORE_SOURCE.U
73  def isAMO: Bool = source === AMO_SOURCE.U
74
75  def convertStoreReq(store: DCacheLineReq): MainPipeReq = {
76    val req = Wire(new MainPipeReq)
77    req := DontCare
78    req.miss := false.B
79    req.miss_dirty := false.B
80    req.probe := false.B
81    req.probe_need_data := false.B
82    req.source := STORE_SOURCE.U
83    req.cmd := store.cmd
84    req.addr := store.addr
85    req.vaddr := store.vaddr
86    req.store_data := store.data
87    req.store_mask := store.mask
88    req.replace := false.B
89    req.error := false.B
90    req.id := store.id
91    req
92  }
93}
94
95class MainPipeStatus(implicit p: Parameters) extends DCacheBundle {
96  val set = UInt(idxBits.W)
97  val way_en = UInt(nWays.W)
98}
99
100class MainPipe(implicit p: Parameters) extends DCacheModule with HasPerfEvents with HasL1PrefetchSourceParameter {
101  val io = IO(new Bundle() {
102    // probe queue
103    val probe_req = Flipped(DecoupledIO(new MainPipeReq))
104    // store miss go to miss queue
105    val miss_req = DecoupledIO(new MissReq)
106    val miss_resp = Input(new MissResp) // miss resp is used to support plru update
107    // store buffer
108    val store_req = Flipped(DecoupledIO(new DCacheLineReq))
109    val store_replay_resp = ValidIO(new DCacheLineResp)
110    val store_hit_resp = ValidIO(new DCacheLineResp)
111    val release_update = ValidIO(new ReleaseUpdate)
112    // atmoics
113    val atomic_req = Flipped(DecoupledIO(new MainPipeReq))
114    val atomic_resp = ValidIO(new AtomicsResp)
115    // replace
116    val replace_req = Flipped(DecoupledIO(new MainPipeReq))
117    val replace_resp = ValidIO(UInt(log2Up(cfg.nMissEntries).W))
118    // write-back queue
119    val wb = DecoupledIO(new WritebackReq)
120    val wb_ready_dup = Vec(nDupWbReady, Input(Bool()))
121    val probe_ttob_check_req = ValidIO(new ProbeToBCheckReq)
122    val probe_ttob_check_resp = Flipped(ValidIO(new ProbeToBCheckResp))
123
124    // data sram
125    val data_read = Vec(LoadPipelineWidth, Input(Bool()))
126    val data_read_intend = Output(Bool())
127    val data_readline = DecoupledIO(new L1BankedDataReadLineReq)
128    val data_resp = Input(Vec(DCacheBanks, new L1BankedDataReadResult()))
129    val readline_error_delayed = Input(Bool())
130    val data_write = DecoupledIO(new L1BankedDataWriteReq)
131    val data_write_dup = Vec(DCacheBanks, Valid(new L1BankedDataWriteReqCtrl))
132    val data_write_ready_dup = Vec(nDupDataWriteReady, Input(Bool()))
133
134    // meta array
135    val meta_read = DecoupledIO(new MetaReadReq)
136    val meta_resp = Input(Vec(nWays, new Meta))
137    val meta_write = DecoupledIO(new CohMetaWriteReq)
138    val extra_meta_resp = Input(Vec(nWays, new DCacheExtraMeta))
139    val error_flag_write = DecoupledIO(new FlagMetaWriteReq)
140    val prefetch_flag_write = DecoupledIO(new SourceMetaWriteReq)
141    val access_flag_write = DecoupledIO(new FlagMetaWriteReq)
142
143    // tag sram
144    val tag_read = DecoupledIO(new TagReadReq)
145    val tag_resp = Input(Vec(nWays, UInt(encTagBits.W)))
146    val tag_write = DecoupledIO(new TagWriteReq)
147    val tag_write_ready_dup = Vec(nDupTagWriteReady, Input(Bool()))
148    val tag_write_intend = Output(new Bool())
149
150    // update state vec in replacement algo
151    val replace_access = ValidIO(new ReplacementAccessBundle)
152    // find the way to be replaced
153    val replace_way = new ReplacementWayReqIO
154
155    val status = new Bundle() {
156      val s0_set = ValidIO(UInt(idxBits.W))
157      val s1, s2, s3 = ValidIO(new MainPipeStatus)
158    }
159    val status_dup = Vec(nDupStatus, new Bundle() {
160      val s1, s2, s3 = ValidIO(new MainPipeStatus)
161    })
162
163    // lrsc locked block should block probe
164    val lrsc_locked_block = Output(Valid(UInt(PAddrBits.W)))
165    val invalid_resv_set = Input(Bool())
166    val update_resv_set = Output(Bool())
167    val block_lr = Output(Bool())
168
169    // ecc error
170    val error = Output(new L1CacheErrorInfo())
171    // force write
172    val force_write = Input(Bool())
173  })
174
175  // meta array is made of regs, so meta write or read should always be ready
176  assert(RegNext(io.meta_read.ready))
177  assert(RegNext(io.meta_write.ready))
178
179  val s1_s0_set_conflict, s2_s0_set_conlict, s3_s0_set_conflict = Wire(Bool())
180  val set_conflict = s1_s0_set_conflict || s2_s0_set_conlict || s3_s0_set_conflict
181  // check sbuffer store req set_conflict in parallel with req arbiter
182  // it will speed up the generation of store_req.ready, which is in crit. path
183  val s1_s0_set_conflict_store, s2_s0_set_conlict_store, s3_s0_set_conflict_store = Wire(Bool())
184  val store_set_conflict = s1_s0_set_conflict_store || s2_s0_set_conlict_store || s3_s0_set_conflict_store
185  val s1_ready, s2_ready, s3_ready = Wire(Bool())
186
187  // convert store req to main pipe req, and select a req from store and probe
188  val storeWaitCycles = RegInit(0.U(4.W))
189  val StoreWaitThreshold = Wire(UInt(4.W))
190  StoreWaitThreshold := Constantin.createRecord("StoreWaitThreshold_"+p(XSCoreParamsKey).HartId.toString(), initValue = 0.U)
191  val storeWaitTooLong = storeWaitCycles >= StoreWaitThreshold
192  val loadsAreComing = io.data_read.asUInt.orR
193  val storeCanAccept = storeWaitTooLong || !loadsAreComing || io.force_write
194
195  val store_req = Wire(DecoupledIO(new MainPipeReq))
196  store_req.bits := (new MainPipeReq).convertStoreReq(io.store_req.bits)
197  store_req.valid := io.store_req.valid && storeCanAccept
198  io.store_req.ready := store_req.ready && storeCanAccept
199
200  when (store_req.fire) { // if wait too long and write success, reset counter.
201    storeWaitCycles := 0.U
202  } .elsewhen (storeWaitCycles < StoreWaitThreshold && io.store_req.valid && !store_req.ready) { // if block store, increase counter.
203    storeWaitCycles := storeWaitCycles + 1.U
204  }
205
206  // s0: read meta and tag
207  val req = Wire(DecoupledIO(new MainPipeReq))
208  arbiter(
209    in = Seq(
210      io.probe_req,
211      io.replace_req,
212      store_req, // Note: store_req.ready is now manually assigned for better timing
213      io.atomic_req
214    ),
215    out = req,
216    name = Some("main_pipe_req")
217  )
218
219  val store_idx = get_idx(io.store_req.bits.vaddr)
220  // manually assign store_req.ready for better timing
221  // now store_req set conflict check is done in parallel with req arbiter
222  store_req.ready := io.meta_read.ready && io.tag_read.ready && s1_ready && !store_set_conflict &&
223    !io.probe_req.valid && !io.replace_req.valid
224  val s0_req = req.bits
225  val s0_idx = get_idx(s0_req.vaddr)
226  val s0_need_tag = io.tag_read.valid
227  val s0_can_go = io.meta_read.ready && io.tag_read.ready && s1_ready && !set_conflict
228  val s0_fire = req.valid && s0_can_go
229
230  val bank_write = VecInit((0 until DCacheBanks).map(i => get_mask_of_bank(i, s0_req.store_mask).orR)).asUInt
231  val bank_full_write = VecInit((0 until DCacheBanks).map(i => get_mask_of_bank(i, s0_req.store_mask).andR)).asUInt
232  val banks_full_overwrite = bank_full_write.andR
233
234  val banked_store_rmask = bank_write & ~bank_full_write
235  val banked_full_rmask = ~0.U(DCacheBanks.W)
236  val banked_none_rmask = 0.U(DCacheBanks.W)
237
238  val store_need_data = !s0_req.probe && s0_req.isStore && banked_store_rmask.orR
239  val probe_need_data = s0_req.probe
240  val amo_need_data = !s0_req.probe && s0_req.isAMO
241  val miss_need_data = s0_req.miss
242  val replace_need_data = s0_req.replace
243
244  val banked_need_data = store_need_data || probe_need_data || amo_need_data || miss_need_data || replace_need_data
245
246  val s0_banked_rmask = Mux(store_need_data, banked_store_rmask,
247    Mux(probe_need_data || amo_need_data || miss_need_data || replace_need_data,
248      banked_full_rmask,
249      banked_none_rmask
250    ))
251
252  // generate wmask here and use it in stage 2
253  val banked_store_wmask = bank_write
254  val banked_full_wmask = ~0.U(DCacheBanks.W)
255  val banked_none_wmask = 0.U(DCacheBanks.W)
256
257  // s1: read data
258  val s1_valid = RegInit(false.B)
259  val s1_need_data = RegEnable(banked_need_data, s0_fire)
260  val s1_req = RegEnable(s0_req, s0_fire)
261  val s1_banked_rmask = RegEnable(s0_banked_rmask, s0_fire)
262  val s1_banked_store_wmask = RegEnable(banked_store_wmask, s0_fire)
263  val s1_need_tag = RegEnable(s0_need_tag, s0_fire)
264  val s1_can_go = s2_ready && (io.data_readline.ready || !s1_need_data)
265  val s1_fire = s1_valid && s1_can_go
266  val s1_idx = get_idx(s1_req.vaddr)
267
268  // duplicate regs to reduce fanout
269  val s1_valid_dup = RegInit(VecInit(Seq.fill(6)(false.B)))
270  val s1_req_vaddr_dup_for_data_read = RegEnable(s0_req.vaddr, s0_fire)
271  val s1_idx_dup_for_replace_way = RegEnable(get_idx(s0_req.vaddr), s0_fire)
272  val s1_dmWay_dup_for_replace_way = RegEnable(get_direct_map_way(s0_req.vaddr), s0_fire)
273
274  val s1_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B)))
275
276  when (s0_fire) {
277    s1_valid := true.B
278    s1_valid_dup.foreach(_ := true.B)
279    s1_valid_dup_for_status.foreach(_ := true.B)
280  }.elsewhen (s1_fire) {
281    s1_valid := false.B
282    s1_valid_dup.foreach(_ := false.B)
283    s1_valid_dup_for_status.foreach(_ := false.B)
284  }
285  s1_ready := !s1_valid_dup(0) || s1_can_go
286  s1_s0_set_conflict := s1_valid_dup(1) && s0_idx === s1_idx
287  s1_s0_set_conflict_store := s1_valid_dup(2) && store_idx === s1_idx
288
289  val meta_resp = Wire(Vec(nWays, (new Meta).asUInt))
290  val tag_resp = Wire(Vec(nWays, UInt(tagBits.W)))
291  val ecc_resp = Wire(Vec(nWays, UInt(eccTagBits.W)))
292  meta_resp := Mux(RegNext(s0_fire), VecInit(io.meta_resp.map(_.asUInt)), RegNext(meta_resp))
293  tag_resp := Mux(RegNext(s0_fire), VecInit(io.tag_resp.map(r => r(tagBits - 1, 0))), RegNext(tag_resp))
294  ecc_resp := Mux(RegNext(s0_fire), VecInit(io.tag_resp.map(r => r(encTagBits - 1, tagBits))), RegNext(ecc_resp))
295  val enc_tag_resp = Wire(io.tag_resp.cloneType)
296  enc_tag_resp := Mux(RegNext(s0_fire), io.tag_resp, RegNext(enc_tag_resp))
297
298  def wayMap[T <: Data](f: Int => T) = VecInit((0 until nWays).map(f))
299  val s1_tag_eq_way = wayMap((w: Int) => tag_resp(w) === get_tag(s1_req.addr)).asUInt
300  val s1_tag_match_way = wayMap((w: Int) => s1_tag_eq_way(w) && Meta(meta_resp(w)).coh.isValid()).asUInt
301  val s1_tag_match = ParallelORR(s1_tag_match_way)
302
303  val s1_hit_tag = Mux(s1_tag_match, ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => tag_resp(w))), get_tag(s1_req.addr))
304  val s1_hit_coh = ClientMetadata(ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => meta_resp(w))))
305  val s1_encTag = ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => enc_tag_resp(w)))
306  val s1_flag_error = ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).error))
307  val s1_extra_meta = ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => io.extra_meta_resp(w)))
308  val s1_l2_error = s1_req.error
309
310  XSPerfAccumulate("probe_unused_prefetch", s1_req.probe && isFromL1Prefetch(s1_extra_meta.prefetch) && !s1_extra_meta.access) // may not be accurate
311  XSPerfAccumulate("replace_unused_prefetch", s1_req.replace && isFromL1Prefetch(s1_extra_meta.prefetch) && !s1_extra_meta.access) // may not be accurate
312
313  // replacement policy
314  val s1_invalid_vec = wayMap(w => !meta_resp(w).asTypeOf(new Meta).coh.isValid())
315  val s1_have_invalid_way = s1_invalid_vec.asUInt.orR
316  val s1_invalid_way_en = ParallelPriorityMux(s1_invalid_vec.zipWithIndex.map(x => x._1 -> UIntToOH(x._2.U(nWays.W))))
317  val s1_repl_way_en = WireInit(0.U(nWays.W))
318  s1_repl_way_en := Mux(
319    RegNext(s0_fire),
320    UIntToOH(io.replace_way.way),
321    RegNext(s1_repl_way_en)
322  )
323  val s1_repl_tag = ParallelMux(s1_repl_way_en.asBools, (0 until nWays).map(w => tag_resp(w)))
324  val s1_repl_coh = ParallelMux(s1_repl_way_en.asBools, (0 until nWays).map(w => meta_resp(w))).asTypeOf(new ClientMetadata)
325  val s1_miss_tag = ParallelMux(s1_req.miss_way_en.asBools, (0 until nWays).map(w => tag_resp(w)))
326  val s1_miss_coh = ParallelMux(s1_req.miss_way_en.asBools, (0 until nWays).map(w => meta_resp(w))).asTypeOf(new ClientMetadata)
327
328  val s1_repl_way_raw = WireInit(0.U(log2Up(nWays).W))
329  s1_repl_way_raw := Mux(RegNext(s0_fire), io.replace_way.way, RegNext(s1_repl_way_raw))
330
331  val s1_need_replacement = (s1_req.miss || s1_req.isStore && !s1_req.probe) && !s1_tag_match
332  val s1_way_en = Mux(
333    s1_req.replace,
334    s1_req.replace_way_en,
335    Mux(
336      s1_req.miss,
337      s1_req.miss_way_en,
338      Mux(
339        s1_need_replacement,
340        s1_repl_way_en,
341        s1_tag_match_way
342      )
343    )
344  )
345  assert(!RegNext(s1_fire && PopCount(s1_way_en) > 1.U))
346  val s1_tag = Mux(
347    s1_req.replace,
348    get_tag(s1_req.addr),
349    Mux(
350      s1_req.miss,
351      s1_miss_tag,
352      Mux(s1_need_replacement, s1_repl_tag, s1_hit_tag)
353    )
354  )
355  val s1_coh = Mux(
356    s1_req.replace,
357    Mux1H(s1_req.replace_way_en, meta_resp.map(ClientMetadata(_))),
358    Mux(
359      s1_req.miss,
360      s1_miss_coh,
361      Mux(s1_need_replacement, s1_repl_coh, s1_hit_coh)
362    )
363  )
364
365  XSPerfAccumulate("store_has_invalid_way_but_select_valid_way", io.replace_way.set.valid && wayMap(w => !meta_resp(w).asTypeOf(new Meta).coh.isValid()).asUInt.orR && s1_need_replacement && s1_repl_coh.isValid())
366  XSPerfAccumulate("store_using_replacement", io.replace_way.set.valid && s1_need_replacement)
367
368  val s1_has_permission = s1_hit_coh.onAccess(s1_req.cmd)._1
369  val s1_hit = s1_tag_match && s1_has_permission
370  val s1_pregen_can_go_to_mq = !s1_req.replace && !s1_req.probe && !s1_req.miss && (s1_req.isStore || s1_req.isAMO) && !s1_hit
371
372  val s1_ttob_probe_valid = s1_valid && s1_req.probe && s1_req.probe_param === TLPermissions.toB
373  val s1_ttob_probe_addr = get_block_addr(Cat(s1_tag, get_untag(s1_req.vaddr)))
374
375  // s2: select data, return resp if this is a store miss
376  val s2_valid = RegInit(false.B)
377  val s2_req = RegEnable(s1_req, s1_fire)
378  val s2_tag_match = RegEnable(s1_tag_match, s1_fire)
379  val s2_tag_match_way = RegEnable(s1_tag_match_way, s1_fire)
380  val s2_hit_coh = RegEnable(s1_hit_coh, s1_fire)
381  val (s2_has_permission, _, s2_new_hit_coh) = s2_hit_coh.onAccess(s2_req.cmd)
382
383  val s2_repl_tag = RegEnable(s1_repl_tag, s1_fire)
384  val s2_repl_coh = RegEnable(s1_repl_coh, s1_fire)
385  val s2_repl_way_en = RegEnable(s1_repl_way_en, s1_fire)
386  val s2_need_replacement = RegEnable(s1_need_replacement, s1_fire)
387  val s2_need_data = RegEnable(s1_need_data, s1_fire)
388  val s2_need_tag = RegEnable(s1_need_tag, s1_fire)
389  val s2_encTag = RegEnable(s1_encTag, s1_fire)
390  val s2_idx = get_idx(s2_req.vaddr)
391
392  // duplicate regs to reduce fanout
393  val s2_valid_dup = RegInit(VecInit(Seq.fill(8)(false.B)))
394  val s2_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B)))
395  val s2_req_vaddr_dup_for_miss_req = RegEnable(s1_req.vaddr, s1_fire)
396  val s2_idx_dup_for_status = RegEnable(get_idx(s1_req.vaddr), s1_fire)
397  val s2_idx_dup_for_replace_access = RegEnable(get_idx(s1_req.vaddr), s1_fire)
398
399  val s2_req_replace_dup_1,
400      s2_req_replace_dup_2 = RegEnable(s1_req.replace, s1_fire)
401
402  val s2_can_go_to_mq_dup = (0 until 3).map(_ => RegEnable(s1_pregen_can_go_to_mq, s1_fire))
403
404  val s2_way_en = RegEnable(s1_way_en, s1_fire)
405  val s2_tag = RegEnable(s1_tag, s1_fire)
406  val s2_coh = RegEnable(s1_coh, s1_fire)
407  val s2_banked_store_wmask = RegEnable(s1_banked_store_wmask, s1_fire)
408  val s2_flag_error = RegEnable(s1_flag_error, s1_fire)
409  val s2_tag_error = dcacheParameters.tagCode.decode(s2_encTag).error && s2_need_tag
410  val s2_l2_error = s2_req.error
411  val s2_error = s2_flag_error || s2_tag_error || s2_l2_error // data_error not included
412
413  val s2_may_report_data_error = s2_need_data && s2_coh.state =/= ClientStates.Nothing
414
415  val s2_hit = s2_tag_match && s2_has_permission
416  val s2_amo_hit = s2_hit && !s2_req.probe && !s2_req.miss && s2_req.isAMO
417  val s2_store_hit = s2_hit && !s2_req.probe && !s2_req.miss && s2_req.isStore
418
419  s2_s0_set_conlict := s2_valid_dup(0) && s0_idx === s2_idx
420  s2_s0_set_conlict_store := s2_valid_dup(1) && store_idx === s2_idx
421
422  // For a store req, it either hits and goes to s3, or miss and enter miss queue immediately
423  val s2_can_go_to_s3 = (s2_req_replace_dup_1 || s2_req.probe || s2_req.miss || (s2_req.isStore || s2_req.isAMO) && s2_hit) && s3_ready
424  val s2_can_go_to_mq = RegEnable(s1_pregen_can_go_to_mq, s1_fire)
425  assert(RegNext(!(s2_valid && s2_can_go_to_s3 && s2_can_go_to_mq)))
426  val s2_can_go = s2_can_go_to_s3 || s2_can_go_to_mq
427  val s2_fire = s2_valid && s2_can_go
428  val s2_fire_to_s3 = s2_valid_dup(2) && s2_can_go_to_s3
429  when (s1_fire) {
430    s2_valid := true.B
431    s2_valid_dup.foreach(_ := true.B)
432    s2_valid_dup_for_status.foreach(_ := true.B)
433  }.elsewhen (s2_fire) {
434    s2_valid := false.B
435    s2_valid_dup.foreach(_ := false.B)
436    s2_valid_dup_for_status.foreach(_ := false.B)
437  }
438  s2_ready := !s2_valid_dup(3) || s2_can_go
439  val replay = !io.miss_req.ready
440
441  val data_resp = Wire(io.data_resp.cloneType)
442  data_resp := Mux(RegNext(s1_fire), io.data_resp, RegNext(data_resp))
443  val s2_store_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
444
445  def mergePutData(old_data: UInt, new_data: UInt, wmask: UInt): UInt = {
446    val full_wmask = FillInterleaved(8, wmask)
447    ((~full_wmask & old_data) | (full_wmask & new_data))
448  }
449
450  val s2_data = WireInit(VecInit((0 until DCacheBanks).map(i => {
451    data_resp(i).raw_data
452  })))
453
454  for (i <- 0 until DCacheBanks) {
455    val old_data = s2_data(i)
456    val new_data = get_data_of_bank(i, s2_req.store_data)
457    // for amo hit, we should use read out SRAM data
458    // do not merge with store data
459    val wmask = Mux(s2_amo_hit, 0.U(wordBytes.W), get_mask_of_bank(i, s2_req.store_mask))
460    s2_store_data_merged(i) := mergePutData(old_data, new_data, wmask)
461  }
462
463  val s2_data_word = s2_store_data_merged(s2_req.word_idx)
464
465  val s2_ttob_probe_valid = RegEnable(s1_ttob_probe_valid, s1_fire)
466  val s2_ttob_probe_addr = RegEnable(s1_ttob_probe_addr, s1_fire)
467
468  io.probe_ttob_check_req.valid := s2_ttob_probe_valid && s2_valid
469  io.probe_ttob_check_req.bits.addr := s2_ttob_probe_addr
470
471  // s3: write data, meta and tag
472  val s3_valid = RegInit(false.B)
473  val s3_req = RegEnable(s2_req, s2_fire_to_s3)
474  // val s3_idx = get_idx(s3_req.vaddr)
475  val s3_tag = RegEnable(s2_tag, s2_fire_to_s3)
476  val s3_tag_match = RegEnable(s2_tag_match, s2_fire_to_s3)
477  val s3_coh = RegEnable(s2_coh, s2_fire_to_s3)
478  val s3_hit = RegEnable(s2_hit, s2_fire_to_s3)
479  val s3_amo_hit = RegEnable(s2_amo_hit, s2_fire_to_s3)
480  val s3_store_hit = RegEnable(s2_store_hit, s2_fire_to_s3)
481  val s3_hit_coh = RegEnable(s2_hit_coh, s2_fire_to_s3)
482  val s3_new_hit_coh = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
483  val s3_way_en = RegEnable(s2_way_en, s2_fire_to_s3)
484  val s3_banked_store_wmask = RegEnable(s2_banked_store_wmask, s2_fire_to_s3)
485  val s3_store_data_merged = RegEnable(s2_store_data_merged, s2_fire_to_s3)
486  val s3_data_word = RegEnable(s2_data_word, s2_fire_to_s3)
487  val s3_data = RegEnable(s2_data, s2_fire_to_s3)
488  val s3_l2_error = s3_req.error
489  // data_error will be reported by data array 1 cycle after data read resp
490  val s3_data_error = Wire(Bool())
491  s3_data_error := Mux(RegNext(RegNext(s1_fire)), // ecc check result is generated 2 cycle after read req
492    io.readline_error_delayed && RegNext(s2_may_report_data_error),
493    RegNext(s3_data_error) // do not update s3_data_error if !s1_fire
494  )
495  // error signal for amo inst
496  // s3_error = s3_flag_error || s3_tag_error || s3_l2_error || s3_data_error
497  val s3_error = RegEnable(s2_error, s2_fire_to_s3) || s3_data_error
498  val (_, _, probe_new_coh) = s3_coh.onProbe(s3_req.probe_param)
499  val s3_need_replacement = RegEnable(s2_need_replacement, s2_fire_to_s3)
500  val s3_probe_ttob_check_resp_r = RegEnable(io.probe_ttob_check_resp, RegNext(s2_fire_to_s3))
501  val s3_probe_ttob_check_resp = Mux(RegNext(s2_fire_to_s3), io.probe_ttob_check_resp, s3_probe_ttob_check_resp_r)
502
503  // duplicate regs to reduce fanout
504  val s3_valid_dup = RegInit(VecInit(Seq.fill(14)(false.B)))
505  val s3_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B)))
506  val s3_way_en_dup = (0 until 4).map(_ => RegEnable(s2_way_en, s2_fire_to_s3))
507  val s3_coh_dup = (0 until 6).map(_ => RegEnable(s2_coh, s2_fire_to_s3))
508  val s3_tag_match_dup = RegEnable(s2_tag_match, s2_fire_to_s3)
509
510  val s3_req_vaddr_dup_for_wb,
511      s3_req_vaddr_dup_for_data_write = RegEnable(s2_req.vaddr, s2_fire_to_s3)
512
513  val s3_idx_dup = (0 until 6).map(_ => RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3))
514
515  val s3_req_replace_dup = (0 until 8).map(_ => RegEnable(s2_req.replace, s2_fire_to_s3))
516  val s3_req_cmd_dup = (0 until 6).map(_ => RegEnable(s2_req.cmd, s2_fire_to_s3))
517  val s3_req_source_dup_1, s3_req_source_dup_2 = RegEnable(s2_req.source, s2_fire_to_s3)
518  val s3_req_addr_dup = (0 until 5).map(_ => RegEnable(s2_req.addr, s2_fire_to_s3))
519  val s3_req_probe_dup = (0 until 10).map(_ => RegEnable(s2_req.probe, s2_fire_to_s3))
520  val s3_req_miss_dup = (0 until 10).map(_ => RegEnable(s2_req.miss, s2_fire_to_s3))
521  val s3_req_word_idx_dup = (0 until DCacheBanks).map(_ => RegEnable(s2_req.word_idx, s2_fire_to_s3))
522
523  val s3_need_replacement_dup = RegEnable(s2_need_replacement, s2_fire_to_s3)
524
525  val s3_s_amoalu_dup = RegInit(VecInit(Seq.fill(3)(false.B)))
526
527  val s3_hit_coh_dup = RegEnable(s2_hit_coh, s2_fire_to_s3)
528  val s3_new_hit_coh_dup = (0 until 2).map(_ => RegEnable(s2_new_hit_coh, s2_fire_to_s3))
529  val s3_amo_hit_dup = RegEnable(s2_amo_hit, s2_fire_to_s3)
530  val s3_store_hit_dup = (0 until 2).map(_ => RegEnable(s2_store_hit, s2_fire_to_s3))
531
532  val lrsc_count_dup = RegInit(VecInit(Seq.fill(3)(0.U(log2Ceil(LRSCCycles).W))))
533  val lrsc_valid_dup = lrsc_count_dup.map { case cnt => cnt > LRSCBackOff.U }
534  val lrsc_addr_dup = Reg(UInt())
535
536  val s3_req_probe_param_dup = RegEnable(s2_req.probe_param, s2_fire_to_s3)
537  val (_, probe_shrink_param, _) = s3_coh.onProbe(s3_req_probe_param_dup)
538
539
540  val miss_update_meta = s3_req.miss
541  val probe_update_meta = s3_req_probe_dup(0) && s3_tag_match_dup && s3_coh_dup(0) =/= probe_new_coh
542  val store_update_meta = s3_req.isStore && !s3_req_probe_dup(1) && s3_hit_coh =/= s3_new_hit_coh_dup(0)
543  val amo_update_meta = s3_req.isAMO && !s3_req_probe_dup(2) && s3_hit_coh_dup =/= s3_new_hit_coh_dup(1)
544  val amo_wait_amoalu = s3_req.isAMO && s3_req_cmd_dup(0) =/= M_XLR && s3_req_cmd_dup(1) =/= M_XSC
545  val update_meta = (miss_update_meta || probe_update_meta || store_update_meta || amo_update_meta) && !s3_req_replace_dup(0)
546
547  def missCohGen(cmd: UInt, param: UInt, dirty: Bool) = {
548    val c = categorize(cmd)
549    MuxLookup(Cat(c, param, dirty), Nothing)(Seq(
550      //(effect param) -> (next)
551      Cat(rd, toB, false.B)  -> Branch,
552      Cat(rd, toB, true.B)   -> Branch,
553      Cat(rd, toT, false.B)  -> Trunk,
554      Cat(rd, toT, true.B)   -> Dirty,
555      Cat(wi, toT, false.B)  -> Trunk,
556      Cat(wi, toT, true.B)   -> Dirty,
557      Cat(wr, toT, false.B)  -> Dirty,
558      Cat(wr, toT, true.B)   -> Dirty))
559  }
560  val miss_new_coh = ClientMetadata(missCohGen(s3_req_cmd_dup(2), s3_req.miss_param, s3_req.miss_dirty))
561
562  // LR, SC and AMO
563  val debug_sc_fail_addr = RegInit(0.U)
564  val debug_sc_fail_cnt  = RegInit(0.U(8.W))
565  val debug_sc_addr_match_fail_cnt  = RegInit(0.U(8.W))
566
567  val lrsc_count = RegInit(0.U(log2Ceil(LRSCCycles).W))
568  // val lrsc_valid = lrsc_count > LRSCBackOff.U
569  val lrsc_addr  = Reg(UInt())
570  val s3_lr = !s3_req_probe_dup(3) && s3_req.isAMO && s3_req_cmd_dup(3) === M_XLR
571  val s3_sc = !s3_req_probe_dup(4) && s3_req.isAMO && s3_req_cmd_dup(4) === M_XSC
572  val s3_lrsc_addr_match = lrsc_valid_dup(0) && lrsc_addr === get_block_addr(s3_req.addr)
573  val s3_sc_fail = s3_sc && !s3_lrsc_addr_match
574  val debug_s3_sc_fail_addr_match = s3_sc && lrsc_addr === get_block_addr(s3_req.addr) && !lrsc_valid_dup(0)
575  val s3_sc_resp = Mux(s3_sc_fail, 1.U, 0.U)
576
577  val s3_can_do_amo = (s3_req_miss_dup(0) && !s3_req_probe_dup(5) && s3_req.isAMO) || s3_amo_hit
578  val s3_can_do_amo_write = s3_can_do_amo && isWrite(s3_req_cmd_dup(5)) && !s3_sc_fail
579
580  when (s3_valid_dup(0) && (s3_lr || s3_sc)) {
581    when (s3_can_do_amo && s3_lr) {
582      lrsc_count := (LRSCCycles - 1).U
583      lrsc_count_dup.foreach(_ := (LRSCCycles - 1).U)
584      lrsc_addr := get_block_addr(s3_req_addr_dup(0))
585      lrsc_addr_dup := get_block_addr(s3_req_addr_dup(0))
586    } .otherwise {
587      lrsc_count := 0.U
588      lrsc_count_dup.foreach(_ := 0.U)
589    }
590  }.elsewhen (io.invalid_resv_set) {
591    // when we release this block,
592    // we invalidate this reservation set
593    lrsc_count := 0.U
594    lrsc_count_dup.foreach(_ := 0.U)
595  }.elsewhen (lrsc_count > 0.U) {
596    lrsc_count := lrsc_count - 1.U
597    lrsc_count_dup.foreach({case cnt =>
598      cnt := cnt - 1.U
599    })
600  }
601
602  io.lrsc_locked_block.valid := lrsc_valid_dup(1)
603  io.lrsc_locked_block.bits  := lrsc_addr_dup
604  io.block_lr := RegNext(lrsc_count > 0.U)
605
606  // When we update update_resv_set, block all probe req in the next cycle
607  // It should give Probe reservation set addr compare an independent cycle,
608  // which will lead to better timing
609  io.update_resv_set := s3_valid_dup(1) && s3_lr && s3_can_do_amo
610
611  when (s3_valid_dup(2)) {
612    when (s3_req_addr_dup(1) === debug_sc_fail_addr) {
613      when (s3_sc_fail) {
614        debug_sc_fail_cnt := debug_sc_fail_cnt + 1.U
615      } .elsewhen (s3_sc) {
616        debug_sc_fail_cnt := 0.U
617      }
618    } .otherwise {
619      when (s3_sc_fail) {
620        debug_sc_fail_addr := s3_req_addr_dup(2)
621        debug_sc_fail_cnt  := 1.U
622        XSWarn(s3_sc_fail === 100.U, p"L1DCache failed too many SCs in a row 0x${Hexadecimal(debug_sc_fail_addr)}, check if sth went wrong\n")
623      }
624    }
625  }
626  XSWarn(debug_sc_fail_cnt > 100.U, "L1DCache failed too many SCs in a row")
627
628  when (s3_valid_dup(2)) {
629    when (s3_req_addr_dup(1) === debug_sc_fail_addr) {
630      when (debug_s3_sc_fail_addr_match) {
631        debug_sc_addr_match_fail_cnt := debug_sc_addr_match_fail_cnt + 1.U
632      } .elsewhen (s3_sc) {
633        debug_sc_addr_match_fail_cnt := 0.U
634      }
635    } .otherwise {
636      when (s3_sc_fail) {
637        debug_sc_addr_match_fail_cnt  := 1.U
638      }
639    }
640  }
641  XSError(debug_sc_addr_match_fail_cnt > 100.U, "L1DCache failed too many SCs in a row, resv set addr always match")
642
643
644  val banked_amo_wmask = UIntToOH(s3_req.word_idx)
645  val update_data = s3_req_miss_dup(2) || s3_store_hit_dup(0) || s3_can_do_amo_write
646
647  // generate write data
648  // AMO hits
649  val s3_s_amoalu = RegInit(false.B)
650  val do_amoalu = amo_wait_amoalu && s3_valid_dup(3) && !s3_s_amoalu
651  val amoalu   = Module(new AMOALU(wordBits))
652  amoalu.io.mask := s3_req.amo_mask
653  amoalu.io.cmd  := s3_req.cmd
654  amoalu.io.lhs  := s3_data_word
655  amoalu.io.rhs  := s3_req.amo_data
656
657  // merge amo write data
658//  val amo_bitmask = FillInterleaved(8, s3_req.amo_mask)
659  val s3_amo_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
660  val s3_sc_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
661  for (i <- 0 until DCacheBanks) {
662    val old_data = s3_store_data_merged(i)
663    val new_data = amoalu.io.out
664    val wmask = Mux(
665      s3_req_word_idx_dup(i) === i.U,
666      ~0.U(wordBytes.W),
667      0.U(wordBytes.W)
668    )
669    s3_amo_data_merged(i) := mergePutData(old_data, new_data, wmask)
670    s3_sc_data_merged(i) := mergePutData(old_data, s3_req.amo_data,
671      Mux(s3_req_word_idx_dup(i) === i.U && !s3_sc_fail, s3_req.amo_mask, 0.U(wordBytes.W))
672    )
673  }
674  val s3_amo_data_merged_reg = RegEnable(s3_amo_data_merged, do_amoalu)
675  when(do_amoalu){
676    s3_s_amoalu := true.B
677    s3_s_amoalu_dup.foreach(_ := true.B)
678  }
679
680  val miss_wb = s3_req_miss_dup(3) && s3_need_replacement && s3_coh_dup(1).state =/= ClientStates.Nothing
681  val miss_wb_dup = s3_req_miss_dup(3) && s3_need_replacement_dup && s3_coh_dup(1).state =/= ClientStates.Nothing
682  val probe_wb = s3_req.probe
683  val replace_wb = s3_req.replace
684  val need_wb = miss_wb_dup || probe_wb || replace_wb
685
686  val (_, miss_shrink_param, _) = s3_coh_dup(2).onCacheControl(M_FLUSH)
687  val writeback_param = Mux(probe_wb, probe_shrink_param, miss_shrink_param)
688  val writeback_data = if (dcacheParameters.alwaysReleaseData) {
689    s3_tag_match && s3_req_probe_dup(6) && s3_req.probe_need_data ||
690      s3_coh_dup(3) === ClientStates.Dirty || (miss_wb || replace_wb) && s3_coh_dup(3).state =/= ClientStates.Nothing
691  } else {
692    s3_tag_match && s3_req_probe_dup(6) && s3_req.probe_need_data || s3_coh_dup(3) === ClientStates.Dirty
693  }
694
695  val s3_probe_can_go = s3_req_probe_dup(7) && io.wb.ready && (io.meta_write.ready || !probe_update_meta)
696  val s3_store_can_go = s3_req_source_dup_1 === STORE_SOURCE.U && !s3_req_probe_dup(8) && (io.meta_write.ready || !store_update_meta) && (io.data_write.ready || !update_data)
697  val s3_amo_can_go = s3_amo_hit_dup && (io.meta_write.ready || !amo_update_meta) && (io.data_write.ready || !update_data) && (s3_s_amoalu_dup(0) || !amo_wait_amoalu)
698  val s3_miss_can_go = s3_req_miss_dup(4) &&
699    (io.meta_write.ready || !amo_update_meta) &&
700    (io.data_write.ready || !update_data) &&
701    (s3_s_amoalu_dup(1) || !amo_wait_amoalu) &&
702    io.tag_write.ready &&
703    io.wb.ready
704  val s3_replace_nothing = s3_req_replace_dup(1) && s3_coh_dup(4).state === ClientStates.Nothing
705  val s3_replace_can_go = s3_req_replace_dup(2) && (s3_replace_nothing || io.wb.ready)
706  val s3_can_go = s3_probe_can_go || s3_store_can_go || s3_amo_can_go || s3_miss_can_go || s3_replace_can_go
707  val s3_update_data_cango = s3_store_can_go || s3_amo_can_go || s3_miss_can_go // used to speed up data_write gen
708
709  // ---------------- duplicate regs for meta_write.valid to solve fanout ----------------
710  val s3_req_miss_dup_for_meta_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
711  val s3_req_probe_dup_for_meta_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
712  val s3_tag_match_dup_for_meta_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
713  val s3_coh_dup_for_meta_w_valid = RegEnable(s2_coh, s2_fire_to_s3)
714  val s3_req_probe_param_dup_for_meta_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
715  val (_, _, probe_new_coh_dup_for_meta_w_valid) = s3_coh_dup_for_meta_w_valid.onProbe(s3_req_probe_param_dup_for_meta_w_valid)
716  val s3_req_source_dup_for_meta_w_valid = RegEnable(s2_req.source, s2_fire_to_s3)
717  val s3_req_cmd_dup_for_meta_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
718  val s3_req_replace_dup_for_meta_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
719  val s3_hit_coh_dup_for_meta_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
720  val s3_new_hit_coh_dup_for_meta_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
721
722  val miss_update_meta_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid
723  val probe_update_meta_dup_for_meta_w_valid = WireInit(s3_req_probe_dup_for_meta_w_valid && s3_tag_match_dup_for_meta_w_valid && s3_coh_dup_for_meta_w_valid =/= probe_new_coh_dup_for_meta_w_valid)
724  val store_update_meta_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === STORE_SOURCE.U &&
725    !s3_req_probe_dup_for_meta_w_valid &&
726    s3_hit_coh_dup_for_meta_w_valid =/= s3_new_hit_coh_dup_for_meta_w_valid
727  val amo_update_meta_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U &&
728    !s3_req_probe_dup_for_meta_w_valid &&
729    s3_hit_coh_dup_for_meta_w_valid =/= s3_new_hit_coh_dup_for_meta_w_valid
730  val update_meta_dup_for_meta_w_valid = (
731    miss_update_meta_dup_for_meta_w_valid ||
732    probe_update_meta_dup_for_meta_w_valid ||
733    store_update_meta_dup_for_meta_w_valid ||
734    amo_update_meta_dup_for_meta_w_valid
735  ) && !s3_req_replace_dup_for_meta_w_valid
736
737  val s3_valid_dup_for_meta_w_valid = RegInit(false.B)
738  val s3_amo_hit_dup_for_meta_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
739  val s3_s_amoalu_dup_for_meta_w_valid = RegInit(false.B)
740  val amo_wait_amoalu_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U &&
741    s3_req_cmd_dup_for_meta_w_valid =/= M_XLR &&
742    s3_req_cmd_dup_for_meta_w_valid =/= M_XSC
743  val do_amoalu_dup_for_meta_w_valid = amo_wait_amoalu_dup_for_meta_w_valid && s3_valid_dup_for_meta_w_valid && !s3_s_amoalu_dup_for_meta_w_valid
744
745  val s3_store_hit_dup_for_meta_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
746  val s3_req_addr_dup_for_meta_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
747  val s3_can_do_amo_dup_for_meta_w_valid = (s3_req_miss_dup_for_meta_w_valid && !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U) ||
748    s3_amo_hit_dup_for_meta_w_valid
749
750  val s3_lr_dup_for_meta_w_valid = !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_meta_w_valid === M_XLR
751  val s3_sc_dup_for_meta_w_valid = !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_meta_w_valid === M_XSC
752  val lrsc_addr_dup_for_meta_w_valid = Reg(UInt())
753  val lrsc_count_dup_for_meta_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
754
755  when (s3_valid_dup_for_meta_w_valid && (s3_lr_dup_for_meta_w_valid || s3_sc_dup_for_meta_w_valid)) {
756    when (s3_can_do_amo_dup_for_meta_w_valid && s3_lr_dup_for_meta_w_valid) {
757      lrsc_count_dup_for_meta_w_valid := (LRSCCycles - 1).U
758      lrsc_addr_dup_for_meta_w_valid := get_block_addr(s3_req_addr_dup_for_meta_w_valid)
759    }.otherwise {
760      lrsc_count_dup_for_meta_w_valid := 0.U
761    }
762  }.elsewhen (io.invalid_resv_set) {
763    lrsc_count_dup_for_meta_w_valid := 0.U
764  }.elsewhen (lrsc_count_dup_for_meta_w_valid > 0.U) {
765    lrsc_count_dup_for_meta_w_valid := lrsc_count_dup_for_meta_w_valid - 1.U
766  }
767
768  val lrsc_valid_dup_for_meta_w_valid = lrsc_count_dup_for_meta_w_valid > LRSCBackOff.U
769  val s3_lrsc_addr_match_dup_for_meta_w_valid = lrsc_valid_dup_for_meta_w_valid && lrsc_addr_dup_for_meta_w_valid === get_block_addr(s3_req_addr_dup_for_meta_w_valid)
770  val s3_sc_fail_dup_for_meta_w_valid = s3_sc_dup_for_meta_w_valid && !s3_lrsc_addr_match_dup_for_meta_w_valid
771  val s3_can_do_amo_write_dup_for_meta_w_valid = s3_can_do_amo_dup_for_meta_w_valid && isWrite(s3_req_cmd_dup_for_meta_w_valid) && !s3_sc_fail_dup_for_meta_w_valid
772  val update_data_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid || s3_store_hit_dup_for_meta_w_valid || s3_can_do_amo_write_dup_for_meta_w_valid
773
774  val s3_probe_can_go_dup_for_meta_w_valid = s3_req_probe_dup_for_meta_w_valid &&
775    io.wb_ready_dup(metaWritePort) &&
776    (io.meta_write.ready || !probe_update_meta_dup_for_meta_w_valid)
777  val s3_store_can_go_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_meta_w_valid &&
778    (io.meta_write.ready || !store_update_meta_dup_for_meta_w_valid) &&
779    (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid)
780  val s3_amo_can_go_dup_for_meta_w_valid = s3_amo_hit_dup_for_meta_w_valid &&
781    (io.meta_write.ready || !amo_update_meta_dup_for_meta_w_valid) &&
782    (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) &&
783    (s3_s_amoalu_dup_for_meta_w_valid || !amo_wait_amoalu_dup_for_meta_w_valid)
784  val s3_miss_can_go_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid &&
785    (io.meta_write.ready || !amo_update_meta_dup_for_meta_w_valid) &&
786    (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) &&
787    (s3_s_amoalu_dup_for_meta_w_valid || !amo_wait_amoalu_dup_for_meta_w_valid) &&
788    io.tag_write_ready_dup(metaWritePort) &&
789    io.wb_ready_dup(metaWritePort)
790  val s3_replace_can_go_dup_for_meta_w_valid = s3_req_replace_dup_for_meta_w_valid &&
791    (s3_coh_dup_for_meta_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(metaWritePort))
792  val s3_can_go_dup_for_meta_w_valid = s3_probe_can_go_dup_for_meta_w_valid ||
793    s3_store_can_go_dup_for_meta_w_valid ||
794    s3_amo_can_go_dup_for_meta_w_valid ||
795    s3_miss_can_go_dup_for_meta_w_valid ||
796    s3_replace_can_go_dup_for_meta_w_valid
797
798  val s3_fire_dup_for_meta_w_valid = s3_valid_dup_for_meta_w_valid && s3_can_go_dup_for_meta_w_valid
799  when (do_amoalu_dup_for_meta_w_valid) { s3_s_amoalu_dup_for_meta_w_valid := true.B }
800  when (s3_fire_dup_for_meta_w_valid) { s3_s_amoalu_dup_for_meta_w_valid := false.B }
801
802  // fix probe meta change
803  val s3_probe_ttob_override = s3_valid &&
804    // s3_probe_ttob_check_resp.valid &&
805    s3_probe_ttob_check_resp.bits.toN &&
806    (s3_coh_dup_for_meta_w_valid === Trunk || s3_coh_dup_for_meta_w_valid === Dirty)
807  val s3_probe_new_coh = Mux(
808    s3_probe_ttob_override,
809    ClientMetadata(Nothing),
810    probe_new_coh_dup_for_meta_w_valid
811  )
812  when(s3_probe_ttob_override) {
813    probe_update_meta_dup_for_meta_w_valid := true.B
814  }
815
816  val new_coh = Mux(
817    miss_update_meta_dup_for_meta_w_valid,
818    miss_new_coh,
819    Mux(
820      probe_update_meta,
821      s3_probe_new_coh,
822      Mux(
823        store_update_meta_dup_for_meta_w_valid || amo_update_meta_dup_for_meta_w_valid,
824        s3_new_hit_coh_dup_for_meta_w_valid,
825        ClientMetadata.onReset
826      )
827    )
828  )
829
830  when (s2_fire_to_s3) { s3_valid_dup_for_meta_w_valid := true.B }
831  .elsewhen (s3_fire_dup_for_meta_w_valid) { s3_valid_dup_for_meta_w_valid := false.B }
832  // -------------------------------------------------------------------------------------
833
834  // ---------------- duplicate regs for err_write.valid to solve fanout -----------------
835  val s3_req_miss_dup_for_err_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
836  val s3_req_probe_dup_for_err_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
837  val s3_tag_match_dup_for_err_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
838  val s3_coh_dup_for_err_w_valid = RegEnable(s2_coh, s2_fire_to_s3)
839  val s3_req_probe_param_dup_for_err_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
840  val (_, _, probe_new_coh_dup_for_err_w_valid) = s3_coh_dup_for_err_w_valid.onProbe(s3_req_probe_param_dup_for_err_w_valid)
841  val s3_req_source_dup_for_err_w_valid = RegEnable(s2_req.source, s2_fire_to_s3)
842  val s3_req_cmd_dup_for_err_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
843  val s3_req_replace_dup_for_err_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
844  val s3_hit_coh_dup_for_err_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
845  val s3_new_hit_coh_dup_for_err_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
846
847  val miss_update_meta_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid
848  val probe_update_meta_dup_for_err_w_valid = s3_req_probe_dup_for_err_w_valid && s3_tag_match_dup_for_err_w_valid && s3_coh_dup_for_err_w_valid =/= probe_new_coh_dup_for_err_w_valid
849  val store_update_meta_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === STORE_SOURCE.U &&
850    !s3_req_probe_dup_for_err_w_valid &&
851    s3_hit_coh_dup_for_err_w_valid =/= s3_new_hit_coh_dup_for_err_w_valid
852  val amo_update_meta_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U &&
853    !s3_req_probe_dup_for_err_w_valid &&
854    s3_hit_coh_dup_for_err_w_valid =/= s3_new_hit_coh_dup_for_err_w_valid
855  val update_meta_dup_for_err_w_valid = (
856    miss_update_meta_dup_for_err_w_valid ||
857    probe_update_meta_dup_for_err_w_valid ||
858    store_update_meta_dup_for_err_w_valid ||
859    amo_update_meta_dup_for_err_w_valid
860  ) && !s3_req_replace_dup_for_err_w_valid
861
862  val s3_valid_dup_for_err_w_valid = RegInit(false.B)
863  val s3_amo_hit_dup_for_err_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
864  val s3_s_amoalu_dup_for_err_w_valid = RegInit(false.B)
865  val amo_wait_amoalu_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U &&
866    s3_req_cmd_dup_for_err_w_valid =/= M_XLR &&
867    s3_req_cmd_dup_for_err_w_valid =/= M_XSC
868  val do_amoalu_dup_for_err_w_valid = amo_wait_amoalu_dup_for_err_w_valid && s3_valid_dup_for_err_w_valid && !s3_s_amoalu_dup_for_err_w_valid
869
870  val s3_store_hit_dup_for_err_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
871  val s3_req_addr_dup_for_err_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
872  val s3_can_do_amo_dup_for_err_w_valid = (s3_req_miss_dup_for_err_w_valid && !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U) ||
873    s3_amo_hit_dup_for_err_w_valid
874
875  val s3_lr_dup_for_err_w_valid = !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_err_w_valid === M_XLR
876  val s3_sc_dup_for_err_w_valid = !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_err_w_valid === M_XSC
877  val lrsc_addr_dup_for_err_w_valid = Reg(UInt())
878  val lrsc_count_dup_for_err_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
879
880  when (s3_valid_dup_for_err_w_valid && (s3_lr_dup_for_err_w_valid || s3_sc_dup_for_err_w_valid)) {
881    when (s3_can_do_amo_dup_for_err_w_valid && s3_lr_dup_for_err_w_valid) {
882      lrsc_count_dup_for_err_w_valid := (LRSCCycles - 1).U
883      lrsc_addr_dup_for_err_w_valid := get_block_addr(s3_req_addr_dup_for_err_w_valid)
884    }.otherwise {
885      lrsc_count_dup_for_err_w_valid := 0.U
886    }
887  }.elsewhen (io.invalid_resv_set) {
888    lrsc_count_dup_for_err_w_valid := 0.U
889  }.elsewhen (lrsc_count_dup_for_err_w_valid > 0.U) {
890    lrsc_count_dup_for_err_w_valid := lrsc_count_dup_for_err_w_valid - 1.U
891  }
892
893  val lrsc_valid_dup_for_err_w_valid = lrsc_count_dup_for_err_w_valid > LRSCBackOff.U
894  val s3_lrsc_addr_match_dup_for_err_w_valid = lrsc_valid_dup_for_err_w_valid && lrsc_addr_dup_for_err_w_valid === get_block_addr(s3_req_addr_dup_for_err_w_valid)
895  val s3_sc_fail_dup_for_err_w_valid = s3_sc_dup_for_err_w_valid && !s3_lrsc_addr_match_dup_for_err_w_valid
896  val s3_can_do_amo_write_dup_for_err_w_valid = s3_can_do_amo_dup_for_err_w_valid && isWrite(s3_req_cmd_dup_for_err_w_valid) && !s3_sc_fail_dup_for_err_w_valid
897  val update_data_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid || s3_store_hit_dup_for_err_w_valid || s3_can_do_amo_write_dup_for_err_w_valid
898
899  val s3_probe_can_go_dup_for_err_w_valid = s3_req_probe_dup_for_err_w_valid &&
900    io.wb_ready_dup(errWritePort) &&
901    (io.meta_write.ready || !probe_update_meta_dup_for_err_w_valid)
902  val s3_store_can_go_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_err_w_valid &&
903    (io.meta_write.ready || !store_update_meta_dup_for_err_w_valid) &&
904    (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid)
905  val s3_amo_can_go_dup_for_err_w_valid = s3_amo_hit_dup_for_err_w_valid &&
906    (io.meta_write.ready || !amo_update_meta_dup_for_err_w_valid) &&
907    (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) &&
908    (s3_s_amoalu_dup_for_err_w_valid || !amo_wait_amoalu_dup_for_err_w_valid)
909  val s3_miss_can_go_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid &&
910    (io.meta_write.ready || !amo_update_meta_dup_for_err_w_valid) &&
911    (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) &&
912    (s3_s_amoalu_dup_for_err_w_valid || !amo_wait_amoalu_dup_for_err_w_valid) &&
913    io.tag_write_ready_dup(errWritePort) &&
914    io.wb_ready_dup(errWritePort)
915  val s3_replace_can_go_dup_for_err_w_valid = s3_req_replace_dup_for_err_w_valid &&
916    (s3_coh_dup_for_err_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(errWritePort))
917  val s3_can_go_dup_for_err_w_valid = s3_probe_can_go_dup_for_err_w_valid ||
918    s3_store_can_go_dup_for_err_w_valid ||
919    s3_amo_can_go_dup_for_err_w_valid ||
920    s3_miss_can_go_dup_for_err_w_valid ||
921    s3_replace_can_go_dup_for_err_w_valid
922
923  val s3_fire_dup_for_err_w_valid = s3_valid_dup_for_err_w_valid && s3_can_go_dup_for_err_w_valid
924  when (do_amoalu_dup_for_err_w_valid) { s3_s_amoalu_dup_for_err_w_valid := true.B }
925  when (s3_fire_dup_for_err_w_valid) { s3_s_amoalu_dup_for_err_w_valid := false.B }
926
927  when (s2_fire_to_s3) { s3_valid_dup_for_err_w_valid := true.B }
928  .elsewhen (s3_fire_dup_for_err_w_valid) { s3_valid_dup_for_err_w_valid := false.B }
929  // -------------------------------------------------------------------------------------
930  // ---------------- duplicate regs for tag_write.valid to solve fanout -----------------
931  val s3_req_miss_dup_for_tag_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
932  val s3_req_probe_dup_for_tag_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
933  val s3_tag_match_dup_for_tag_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
934  val s3_coh_dup_for_tag_w_valid = RegEnable(s2_coh, s2_fire_to_s3)
935  val s3_req_probe_param_dup_for_tag_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
936  val (_, _, probe_new_coh_dup_for_tag_w_valid) = s3_coh_dup_for_tag_w_valid.onProbe(s3_req_probe_param_dup_for_tag_w_valid)
937  val s3_req_source_dup_for_tag_w_valid = RegEnable(s2_req.source, s2_fire_to_s3)
938  val s3_req_cmd_dup_for_tag_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
939  val s3_req_replace_dup_for_tag_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
940  val s3_hit_coh_dup_for_tag_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
941  val s3_new_hit_coh_dup_for_tag_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
942
943  val miss_update_meta_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid
944  val probe_update_meta_dup_for_tag_w_valid = s3_req_probe_dup_for_tag_w_valid && s3_tag_match_dup_for_tag_w_valid && s3_coh_dup_for_tag_w_valid =/= probe_new_coh_dup_for_tag_w_valid
945  val store_update_meta_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === STORE_SOURCE.U &&
946    !s3_req_probe_dup_for_tag_w_valid &&
947    s3_hit_coh_dup_for_tag_w_valid =/= s3_new_hit_coh_dup_for_tag_w_valid
948  val amo_update_meta_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U &&
949    !s3_req_probe_dup_for_tag_w_valid &&
950    s3_hit_coh_dup_for_tag_w_valid =/= s3_new_hit_coh_dup_for_tag_w_valid
951  val update_meta_dup_for_tag_w_valid = (
952    miss_update_meta_dup_for_tag_w_valid ||
953    probe_update_meta_dup_for_tag_w_valid ||
954    store_update_meta_dup_for_tag_w_valid ||
955    amo_update_meta_dup_for_tag_w_valid
956  ) && !s3_req_replace_dup_for_tag_w_valid
957
958  val s3_valid_dup_for_tag_w_valid = RegInit(false.B)
959  val s3_amo_hit_dup_for_tag_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
960  val s3_s_amoalu_dup_for_tag_w_valid = RegInit(false.B)
961  val amo_wait_amoalu_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U &&
962    s3_req_cmd_dup_for_tag_w_valid =/= M_XLR &&
963    s3_req_cmd_dup_for_tag_w_valid =/= M_XSC
964  val do_amoalu_dup_for_tag_w_valid = amo_wait_amoalu_dup_for_tag_w_valid && s3_valid_dup_for_tag_w_valid && !s3_s_amoalu_dup_for_tag_w_valid
965
966  val s3_store_hit_dup_for_tag_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
967  val s3_req_addr_dup_for_tag_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
968  val s3_can_do_amo_dup_for_tag_w_valid = (s3_req_miss_dup_for_tag_w_valid && !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U) ||
969    s3_amo_hit_dup_for_tag_w_valid
970
971  val s3_lr_dup_for_tag_w_valid = !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_tag_w_valid === M_XLR
972  val s3_sc_dup_for_tag_w_valid = !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_tag_w_valid === M_XSC
973  val lrsc_addr_dup_for_tag_w_valid = Reg(UInt())
974  val lrsc_count_dup_for_tag_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
975
976  when (s3_valid_dup_for_tag_w_valid && (s3_lr_dup_for_tag_w_valid || s3_sc_dup_for_tag_w_valid)) {
977    when (s3_can_do_amo_dup_for_tag_w_valid && s3_lr_dup_for_tag_w_valid) {
978      lrsc_count_dup_for_tag_w_valid := (LRSCCycles - 1).U
979      lrsc_addr_dup_for_tag_w_valid := get_block_addr(s3_req_addr_dup_for_tag_w_valid)
980    }.otherwise {
981      lrsc_count_dup_for_tag_w_valid := 0.U
982    }
983  }.elsewhen (io.invalid_resv_set) {
984    lrsc_count_dup_for_tag_w_valid := 0.U
985  }.elsewhen (lrsc_count_dup_for_tag_w_valid > 0.U) {
986    lrsc_count_dup_for_tag_w_valid := lrsc_count_dup_for_tag_w_valid - 1.U
987  }
988
989  val lrsc_valid_dup_for_tag_w_valid = lrsc_count_dup_for_tag_w_valid > LRSCBackOff.U
990  val s3_lrsc_addr_match_dup_for_tag_w_valid = lrsc_valid_dup_for_tag_w_valid && lrsc_addr_dup_for_tag_w_valid === get_block_addr(s3_req_addr_dup_for_tag_w_valid)
991  val s3_sc_fail_dup_for_tag_w_valid = s3_sc_dup_for_tag_w_valid && !s3_lrsc_addr_match_dup_for_tag_w_valid
992  val s3_can_do_amo_write_dup_for_tag_w_valid = s3_can_do_amo_dup_for_tag_w_valid && isWrite(s3_req_cmd_dup_for_tag_w_valid) && !s3_sc_fail_dup_for_tag_w_valid
993  val update_data_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid || s3_store_hit_dup_for_tag_w_valid || s3_can_do_amo_write_dup_for_tag_w_valid
994
995  val s3_probe_can_go_dup_for_tag_w_valid = s3_req_probe_dup_for_tag_w_valid &&
996    io.wb_ready_dup(tagWritePort) &&
997    (io.meta_write.ready || !probe_update_meta_dup_for_tag_w_valid)
998  val s3_store_can_go_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_tag_w_valid &&
999    (io.meta_write.ready || !store_update_meta_dup_for_tag_w_valid) &&
1000    (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid)
1001  val s3_amo_can_go_dup_for_tag_w_valid = s3_amo_hit_dup_for_tag_w_valid &&
1002    (io.meta_write.ready || !amo_update_meta_dup_for_tag_w_valid) &&
1003    (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) &&
1004    (s3_s_amoalu_dup_for_tag_w_valid || !amo_wait_amoalu_dup_for_tag_w_valid)
1005  val s3_miss_can_go_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid &&
1006    (io.meta_write.ready || !amo_update_meta_dup_for_tag_w_valid) &&
1007    (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) &&
1008    (s3_s_amoalu_dup_for_tag_w_valid || !amo_wait_amoalu_dup_for_tag_w_valid) &&
1009    io.tag_write_ready_dup(tagWritePort) &&
1010    io.wb_ready_dup(tagWritePort)
1011  val s3_replace_can_go_dup_for_tag_w_valid = s3_req_replace_dup_for_tag_w_valid &&
1012    (s3_coh_dup_for_tag_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(tagWritePort))
1013  val s3_can_go_dup_for_tag_w_valid = s3_probe_can_go_dup_for_tag_w_valid ||
1014    s3_store_can_go_dup_for_tag_w_valid ||
1015    s3_amo_can_go_dup_for_tag_w_valid ||
1016    s3_miss_can_go_dup_for_tag_w_valid ||
1017    s3_replace_can_go_dup_for_tag_w_valid
1018
1019  val s3_fire_dup_for_tag_w_valid = s3_valid_dup_for_tag_w_valid && s3_can_go_dup_for_tag_w_valid
1020  when (do_amoalu_dup_for_tag_w_valid) { s3_s_amoalu_dup_for_tag_w_valid := true.B }
1021  when (s3_fire_dup_for_tag_w_valid) { s3_s_amoalu_dup_for_tag_w_valid := false.B }
1022
1023  when (s2_fire_to_s3) { s3_valid_dup_for_tag_w_valid := true.B }
1024  .elsewhen (s3_fire_dup_for_tag_w_valid) { s3_valid_dup_for_tag_w_valid := false.B }
1025  // -------------------------------------------------------------------------------------
1026  // ---------------- duplicate regs for data_write.valid to solve fanout ----------------
1027  val s3_req_miss_dup_for_data_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
1028  val s3_req_probe_dup_for_data_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
1029  val s3_tag_match_dup_for_data_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
1030  val s3_coh_dup_for_data_w_valid = RegEnable(s2_coh, s2_fire_to_s3)
1031  val s3_req_probe_param_dup_for_data_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
1032  val (_, _, probe_new_coh_dup_for_data_w_valid) = s3_coh_dup_for_data_w_valid.onProbe(s3_req_probe_param_dup_for_data_w_valid)
1033  val s3_req_source_dup_for_data_w_valid = RegEnable(s2_req.source, s2_fire_to_s3)
1034  val s3_req_cmd_dup_for_data_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
1035  val s3_req_replace_dup_for_data_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
1036  val s3_hit_coh_dup_for_data_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
1037  val s3_new_hit_coh_dup_for_data_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
1038
1039  val miss_update_meta_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid
1040  val probe_update_meta_dup_for_data_w_valid = s3_req_probe_dup_for_data_w_valid && s3_tag_match_dup_for_data_w_valid && s3_coh_dup_for_data_w_valid =/= probe_new_coh_dup_for_data_w_valid
1041  val store_update_meta_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === STORE_SOURCE.U &&
1042    !s3_req_probe_dup_for_data_w_valid &&
1043    s3_hit_coh_dup_for_data_w_valid =/= s3_new_hit_coh_dup_for_data_w_valid
1044  val amo_update_meta_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U &&
1045    !s3_req_probe_dup_for_data_w_valid &&
1046    s3_hit_coh_dup_for_data_w_valid =/= s3_new_hit_coh_dup_for_data_w_valid
1047  val update_meta_dup_for_data_w_valid = (
1048    miss_update_meta_dup_for_data_w_valid ||
1049    probe_update_meta_dup_for_data_w_valid ||
1050    store_update_meta_dup_for_data_w_valid ||
1051    amo_update_meta_dup_for_data_w_valid
1052  ) && !s3_req_replace_dup_for_data_w_valid
1053
1054  val s3_valid_dup_for_data_w_valid = RegInit(false.B)
1055  val s3_amo_hit_dup_for_data_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
1056  val s3_s_amoalu_dup_for_data_w_valid = RegInit(false.B)
1057  val amo_wait_amoalu_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U &&
1058    s3_req_cmd_dup_for_data_w_valid =/= M_XLR &&
1059    s3_req_cmd_dup_for_data_w_valid =/= M_XSC
1060  val do_amoalu_dup_for_data_w_valid = amo_wait_amoalu_dup_for_data_w_valid && s3_valid_dup_for_data_w_valid && !s3_s_amoalu_dup_for_data_w_valid
1061
1062  val s3_store_hit_dup_for_data_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
1063  val s3_req_addr_dup_for_data_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
1064  val s3_can_do_amo_dup_for_data_w_valid = (s3_req_miss_dup_for_data_w_valid && !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U) ||
1065    s3_amo_hit_dup_for_data_w_valid
1066
1067  val s3_lr_dup_for_data_w_valid = !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_valid === M_XLR
1068  val s3_sc_dup_for_data_w_valid = !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_valid === M_XSC
1069  val lrsc_addr_dup_for_data_w_valid = Reg(UInt())
1070  val lrsc_count_dup_for_data_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
1071
1072  when (s3_valid_dup_for_data_w_valid && (s3_lr_dup_for_data_w_valid || s3_sc_dup_for_data_w_valid)) {
1073    when (s3_can_do_amo_dup_for_data_w_valid && s3_lr_dup_for_data_w_valid) {
1074      lrsc_count_dup_for_data_w_valid := (LRSCCycles - 1).U
1075      lrsc_addr_dup_for_data_w_valid := get_block_addr(s3_req_addr_dup_for_data_w_valid)
1076    }.otherwise {
1077      lrsc_count_dup_for_data_w_valid := 0.U
1078    }
1079  }.elsewhen (io.invalid_resv_set) {
1080    lrsc_count_dup_for_data_w_valid := 0.U
1081  }.elsewhen (lrsc_count_dup_for_data_w_valid > 0.U) {
1082    lrsc_count_dup_for_data_w_valid := lrsc_count_dup_for_data_w_valid - 1.U
1083  }
1084
1085  val lrsc_valid_dup_for_data_w_valid = lrsc_count_dup_for_data_w_valid > LRSCBackOff.U
1086  val s3_lrsc_addr_match_dup_for_data_w_valid = lrsc_valid_dup_for_data_w_valid && lrsc_addr_dup_for_data_w_valid === get_block_addr(s3_req_addr_dup_for_data_w_valid)
1087  val s3_sc_fail_dup_for_data_w_valid = s3_sc_dup_for_data_w_valid && !s3_lrsc_addr_match_dup_for_data_w_valid
1088  val s3_can_do_amo_write_dup_for_data_w_valid = s3_can_do_amo_dup_for_data_w_valid && isWrite(s3_req_cmd_dup_for_data_w_valid) && !s3_sc_fail_dup_for_data_w_valid
1089  val update_data_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid || s3_store_hit_dup_for_data_w_valid || s3_can_do_amo_write_dup_for_data_w_valid
1090
1091  val s3_probe_can_go_dup_for_data_w_valid = s3_req_probe_dup_for_data_w_valid &&
1092    io.wb_ready_dup(dataWritePort) &&
1093    (io.meta_write.ready || !probe_update_meta_dup_for_data_w_valid)
1094  val s3_store_can_go_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_data_w_valid &&
1095    (io.meta_write.ready || !store_update_meta_dup_for_data_w_valid) &&
1096    (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid)
1097  val s3_amo_can_go_dup_for_data_w_valid = s3_amo_hit_dup_for_data_w_valid &&
1098    (io.meta_write.ready || !amo_update_meta_dup_for_data_w_valid) &&
1099    (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) &&
1100    (s3_s_amoalu_dup_for_data_w_valid || !amo_wait_amoalu_dup_for_data_w_valid)
1101  val s3_miss_can_go_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid &&
1102    (io.meta_write.ready || !amo_update_meta_dup_for_data_w_valid) &&
1103    (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) &&
1104    (s3_s_amoalu_dup_for_data_w_valid || !amo_wait_amoalu_dup_for_data_w_valid) &&
1105    io.tag_write_ready_dup(dataWritePort) &&
1106    io.wb_ready_dup(dataWritePort)
1107  val s3_replace_can_go_dup_for_data_w_valid = s3_req_replace_dup_for_data_w_valid &&
1108    (s3_coh_dup_for_data_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(dataWritePort))
1109  val s3_can_go_dup_for_data_w_valid = s3_probe_can_go_dup_for_data_w_valid ||
1110    s3_store_can_go_dup_for_data_w_valid ||
1111    s3_amo_can_go_dup_for_data_w_valid ||
1112    s3_miss_can_go_dup_for_data_w_valid ||
1113    s3_replace_can_go_dup_for_data_w_valid
1114  val s3_update_data_cango_dup_for_data_w_valid = s3_store_can_go_dup_for_data_w_valid || s3_amo_can_go_dup_for_data_w_valid || s3_miss_can_go_dup_for_data_w_valid
1115
1116  val s3_fire_dup_for_data_w_valid = s3_valid_dup_for_data_w_valid && s3_can_go_dup_for_data_w_valid
1117  when (do_amoalu_dup_for_data_w_valid) { s3_s_amoalu_dup_for_data_w_valid := true.B }
1118  when (s3_fire_dup_for_data_w_valid) { s3_s_amoalu_dup_for_data_w_valid := false.B }
1119
1120  val s3_banked_store_wmask_dup_for_data_w_valid = RegEnable(s2_banked_store_wmask, s2_fire_to_s3)
1121  val s3_req_word_idx_dup_for_data_w_valid = RegEnable(s2_req.word_idx, s2_fire_to_s3)
1122  val banked_wmask = Mux(
1123    s3_req_miss_dup_for_data_w_valid,
1124    banked_full_wmask,
1125    Mux(
1126      s3_store_hit_dup_for_data_w_valid,
1127      s3_banked_store_wmask_dup_for_data_w_valid,
1128      Mux(
1129        s3_can_do_amo_write_dup_for_data_w_valid,
1130        UIntToOH(s3_req_word_idx_dup_for_data_w_valid),
1131        banked_none_wmask
1132      )
1133    )
1134  )
1135  assert(!(s3_valid && banked_wmask.orR && !update_data))
1136
1137  val s3_sc_data_merged_dup_for_data_w_valid = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
1138  val s3_req_amo_data_dup_for_data_w_valid = RegEnable(s2_req.amo_data, s2_fire_to_s3)
1139  val s3_req_amo_mask_dup_for_data_w_valid = RegEnable(s2_req.amo_mask, s2_fire_to_s3)
1140  for (i <- 0 until DCacheBanks) {
1141    val old_data = s3_store_data_merged(i)
1142    s3_sc_data_merged_dup_for_data_w_valid(i) := mergePutData(old_data, s3_req_amo_data_dup_for_data_w_valid,
1143      Mux(
1144        s3_req_word_idx_dup_for_data_w_valid === i.U && !s3_sc_fail_dup_for_data_w_valid,
1145        s3_req_amo_mask_dup_for_data_w_valid,
1146        0.U(wordBytes.W)
1147      )
1148    )
1149  }
1150
1151  when (s2_fire_to_s3) { s3_valid_dup_for_data_w_valid := true.B }
1152  .elsewhen (s3_fire_dup_for_data_w_valid) { s3_valid_dup_for_data_w_valid := false.B }
1153
1154  val s3_valid_dup_for_data_w_bank = RegInit(VecInit(Seq.fill(DCacheBanks)(false.B))) // TODO
1155  val data_write_ready_dup_for_data_w_bank = io.data_write_ready_dup.drop(dataWritePort).take(DCacheBanks)
1156  val tag_write_ready_dup_for_data_w_bank = io.tag_write_ready_dup.drop(dataWritePort).take(DCacheBanks)
1157  val wb_ready_dup_for_data_w_bank = io.wb_ready_dup.drop(dataWritePort).take(DCacheBanks)
1158  for (i <- 0 until DCacheBanks) {
1159    val s3_req_miss_dup_for_data_w_bank = RegEnable(s2_req.miss, s2_fire_to_s3)
1160    val s3_req_probe_dup_for_data_w_bank = RegEnable(s2_req.probe, s2_fire_to_s3)
1161    val s3_tag_match_dup_for_data_w_bank = RegEnable(s2_tag_match, s2_fire_to_s3)
1162    val s3_coh_dup_for_data_w_bank = RegEnable(s2_coh, s2_fire_to_s3)
1163    val s3_req_probe_param_dup_for_data_w_bank = RegEnable(s2_req.probe_param, s2_fire_to_s3)
1164    val (_, _, probe_new_coh_dup_for_data_w_bank) = s3_coh_dup_for_data_w_bank.onProbe(s3_req_probe_param_dup_for_data_w_bank)
1165    val s3_req_source_dup_for_data_w_bank = RegEnable(s2_req.source, s2_fire_to_s3)
1166    val s3_req_cmd_dup_for_data_w_bank = RegEnable(s2_req.cmd, s2_fire_to_s3)
1167    val s3_req_replace_dup_for_data_w_bank = RegEnable(s2_req.replace, s2_fire_to_s3)
1168    val s3_hit_coh_dup_for_data_w_bank = RegEnable(s2_hit_coh, s2_fire_to_s3)
1169    val s3_new_hit_coh_dup_for_data_w_bank = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
1170
1171    val miss_update_meta_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank
1172    val probe_update_meta_dup_for_data_w_bank = s3_req_probe_dup_for_data_w_bank && s3_tag_match_dup_for_data_w_bank && s3_coh_dup_for_data_w_bank =/= probe_new_coh_dup_for_data_w_bank
1173    val store_update_meta_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === STORE_SOURCE.U &&
1174      !s3_req_probe_dup_for_data_w_bank &&
1175      s3_hit_coh_dup_for_data_w_bank =/= s3_new_hit_coh_dup_for_data_w_bank
1176    val amo_update_meta_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U &&
1177      !s3_req_probe_dup_for_data_w_bank &&
1178      s3_hit_coh_dup_for_data_w_bank =/= s3_new_hit_coh_dup_for_data_w_bank
1179    val update_meta_dup_for_data_w_bank = (
1180      miss_update_meta_dup_for_data_w_bank ||
1181      probe_update_meta_dup_for_data_w_bank ||
1182      store_update_meta_dup_for_data_w_bank ||
1183      amo_update_meta_dup_for_data_w_bank
1184    ) && !s3_req_replace_dup_for_data_w_bank
1185
1186    val s3_amo_hit_dup_for_data_w_bank = RegEnable(s2_amo_hit, s2_fire_to_s3)
1187    val s3_s_amoalu_dup_for_data_w_bank = RegInit(false.B)
1188    val amo_wait_amoalu_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U &&
1189      s3_req_cmd_dup_for_data_w_bank =/= M_XLR &&
1190      s3_req_cmd_dup_for_data_w_bank =/= M_XSC
1191    val do_amoalu_dup_for_data_w_bank = amo_wait_amoalu_dup_for_data_w_bank && s3_valid_dup_for_data_w_bank(i) && !s3_s_amoalu_dup_for_data_w_bank
1192
1193    val s3_store_hit_dup_for_data_w_bank = RegEnable(s2_store_hit, s2_fire_to_s3)
1194    val s3_req_addr_dup_for_data_w_bank = RegEnable(s2_req.addr, s2_fire_to_s3)
1195    val s3_can_do_amo_dup_for_data_w_bank = (s3_req_miss_dup_for_data_w_bank && !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U) ||
1196      s3_amo_hit_dup_for_data_w_bank
1197
1198    val s3_lr_dup_for_data_w_bank = !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_bank === M_XLR
1199    val s3_sc_dup_for_data_w_bank = !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_bank === M_XSC
1200    val lrsc_addr_dup_for_data_w_bank = Reg(UInt())
1201    val lrsc_count_dup_for_data_w_bank = RegInit(0.U(log2Ceil(LRSCCycles).W))
1202
1203    when (s3_valid_dup_for_data_w_bank(i) && (s3_lr_dup_for_data_w_bank || s3_sc_dup_for_data_w_bank)) {
1204      when (s3_can_do_amo_dup_for_data_w_bank && s3_lr_dup_for_data_w_bank) {
1205        lrsc_count_dup_for_data_w_bank := (LRSCCycles - 1).U
1206        lrsc_addr_dup_for_data_w_bank := get_block_addr(s3_req_addr_dup_for_data_w_bank)
1207      }.otherwise {
1208        lrsc_count_dup_for_data_w_bank := 0.U
1209      }
1210    }.elsewhen (io.invalid_resv_set) {
1211      lrsc_count_dup_for_data_w_bank := 0.U
1212    }.elsewhen (lrsc_count_dup_for_data_w_bank > 0.U) {
1213      lrsc_count_dup_for_data_w_bank := lrsc_count_dup_for_data_w_bank - 1.U
1214    }
1215
1216    val lrsc_valid_dup_for_data_w_bank = lrsc_count_dup_for_data_w_bank > LRSCBackOff.U
1217    val s3_lrsc_addr_match_dup_for_data_w_bank = lrsc_valid_dup_for_data_w_bank && lrsc_addr_dup_for_data_w_bank === get_block_addr(s3_req_addr_dup_for_data_w_bank)
1218    val s3_sc_fail_dup_for_data_w_bank = s3_sc_dup_for_data_w_bank && !s3_lrsc_addr_match_dup_for_data_w_bank
1219    val s3_can_do_amo_write_dup_for_data_w_bank = s3_can_do_amo_dup_for_data_w_bank && isWrite(s3_req_cmd_dup_for_data_w_bank) && !s3_sc_fail_dup_for_data_w_bank
1220    val update_data_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank || s3_store_hit_dup_for_data_w_bank || s3_can_do_amo_write_dup_for_data_w_bank
1221
1222    val s3_probe_can_go_dup_for_data_w_bank = s3_req_probe_dup_for_data_w_bank &&
1223      wb_ready_dup_for_data_w_bank(i) &&
1224      (io.meta_write.ready || !probe_update_meta_dup_for_data_w_bank)
1225    val s3_store_can_go_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === STORE_SOURCE.U && !s3_req_probe_dup_for_data_w_bank &&
1226      (io.meta_write.ready || !store_update_meta_dup_for_data_w_bank) &&
1227      (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank)
1228    val s3_amo_can_go_dup_for_data_w_bank = s3_amo_hit_dup_for_data_w_bank &&
1229      (io.meta_write.ready || !amo_update_meta_dup_for_data_w_bank) &&
1230      (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) &&
1231      (s3_s_amoalu_dup_for_data_w_bank || !amo_wait_amoalu_dup_for_data_w_bank)
1232    val s3_miss_can_go_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank &&
1233      (io.meta_write.ready || !amo_update_meta_dup_for_data_w_bank) &&
1234      (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) &&
1235      (s3_s_amoalu_dup_for_data_w_bank || !amo_wait_amoalu_dup_for_data_w_bank) &&
1236      tag_write_ready_dup_for_data_w_bank(i) &&
1237      wb_ready_dup_for_data_w_bank(i)
1238    val s3_replace_can_go_dup_for_data_w_bank = s3_req_replace_dup_for_data_w_bank &&
1239      (s3_coh_dup_for_data_w_bank.state === ClientStates.Nothing || wb_ready_dup_for_data_w_bank(i))
1240    val s3_can_go_dup_for_data_w_bank = s3_probe_can_go_dup_for_data_w_bank ||
1241      s3_store_can_go_dup_for_data_w_bank ||
1242      s3_amo_can_go_dup_for_data_w_bank ||
1243      s3_miss_can_go_dup_for_data_w_bank ||
1244      s3_replace_can_go_dup_for_data_w_bank
1245    val s3_update_data_cango_dup_for_data_w_bank = s3_store_can_go_dup_for_data_w_bank || s3_amo_can_go_dup_for_data_w_bank || s3_miss_can_go_dup_for_data_w_bank
1246
1247    val s3_fire_dup_for_data_w_bank = s3_valid_dup_for_data_w_bank(i) && s3_can_go_dup_for_data_w_bank
1248
1249    when (do_amoalu_dup_for_data_w_bank) { s3_s_amoalu_dup_for_data_w_bank := true.B }
1250    when (s3_fire_dup_for_data_w_bank) { s3_s_amoalu_dup_for_data_w_bank := false.B }
1251
1252    when (s2_fire_to_s3) { s3_valid_dup_for_data_w_bank(i) := true.B }
1253    .elsewhen (s3_fire_dup_for_data_w_bank) { s3_valid_dup_for_data_w_bank(i) := false.B }
1254
1255    io.data_write_dup(i).valid := s3_valid_dup_for_data_w_bank(i) && s3_update_data_cango_dup_for_data_w_bank && update_data_dup_for_data_w_bank
1256    io.data_write_dup(i).bits.way_en := RegEnable(s2_way_en, s2_fire_to_s3)
1257    io.data_write_dup(i).bits.addr := RegEnable(s2_req.vaddr, s2_fire_to_s3)
1258  }
1259  // -------------------------------------------------------------------------------------
1260
1261  // ---------------- duplicate regs for wb.valid to solve fanout ----------------
1262  val s3_req_miss_dup_for_wb_valid = RegEnable(s2_req.miss, s2_fire_to_s3)
1263  val s3_req_probe_dup_for_wb_valid = RegEnable(s2_req.probe, s2_fire_to_s3)
1264  val s3_tag_match_dup_for_wb_valid = RegEnable(s2_tag_match, s2_fire_to_s3)
1265  val s3_coh_dup_for_wb_valid = RegEnable(s2_coh, s2_fire_to_s3)
1266  val s3_req_probe_param_dup_for_wb_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3)
1267  val (_, _, probe_new_coh_dup_for_wb_valid) = s3_coh_dup_for_wb_valid.onProbe(s3_req_probe_param_dup_for_wb_valid)
1268  val s3_req_source_dup_for_wb_valid = RegEnable(s2_req.source, s2_fire_to_s3)
1269  val s3_req_cmd_dup_for_wb_valid = RegEnable(s2_req.cmd, s2_fire_to_s3)
1270  val s3_req_replace_dup_for_wb_valid = RegEnable(s2_req.replace, s2_fire_to_s3)
1271  val s3_hit_coh_dup_for_wb_valid = RegEnable(s2_hit_coh, s2_fire_to_s3)
1272  val s3_new_hit_coh_dup_for_wb_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3)
1273
1274  val miss_update_meta_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid
1275  val probe_update_meta_dup_for_wb_valid = s3_req_probe_dup_for_wb_valid && s3_tag_match_dup_for_wb_valid && s3_coh_dup_for_wb_valid =/= probe_new_coh_dup_for_wb_valid
1276  val store_update_meta_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === STORE_SOURCE.U &&
1277    !s3_req_probe_dup_for_wb_valid &&
1278    s3_hit_coh_dup_for_wb_valid =/= s3_new_hit_coh_dup_for_wb_valid
1279  val amo_update_meta_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === AMO_SOURCE.U &&
1280    !s3_req_probe_dup_for_wb_valid &&
1281    s3_hit_coh_dup_for_wb_valid =/= s3_new_hit_coh_dup_for_wb_valid
1282  val update_meta_dup_for_wb_valid = (
1283    miss_update_meta_dup_for_wb_valid ||
1284    probe_update_meta_dup_for_wb_valid ||
1285    store_update_meta_dup_for_wb_valid ||
1286    amo_update_meta_dup_for_wb_valid
1287  ) && !s3_req_replace_dup_for_wb_valid
1288
1289  val s3_valid_dup_for_wb_valid = RegInit(false.B)
1290  val s3_amo_hit_dup_for_wb_valid = RegEnable(s2_amo_hit, s2_fire_to_s3)
1291  val s3_s_amoalu_dup_for_wb_valid = RegInit(false.B)
1292  val amo_wait_amoalu_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === AMO_SOURCE.U &&
1293    s3_req_cmd_dup_for_wb_valid =/= M_XLR &&
1294    s3_req_cmd_dup_for_wb_valid =/= M_XSC
1295  val do_amoalu_dup_for_wb_valid = amo_wait_amoalu_dup_for_wb_valid && s3_valid_dup_for_wb_valid && !s3_s_amoalu_dup_for_wb_valid
1296
1297  val s3_store_hit_dup_for_wb_valid = RegEnable(s2_store_hit, s2_fire_to_s3)
1298  val s3_req_addr_dup_for_wb_valid = RegEnable(s2_req.addr, s2_fire_to_s3)
1299  val s3_can_do_amo_dup_for_wb_valid = (s3_req_miss_dup_for_wb_valid && !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U) ||
1300    s3_amo_hit_dup_for_wb_valid
1301
1302  val s3_lr_dup_for_wb_valid = !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_wb_valid === M_XLR
1303  val s3_sc_dup_for_wb_valid = !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_wb_valid === M_XSC
1304  val lrsc_addr_dup_for_wb_valid = Reg(UInt())
1305  val lrsc_count_dup_for_wb_valid = RegInit(0.U(log2Ceil(LRSCCycles).W))
1306
1307  when (s3_valid_dup_for_wb_valid && (s3_lr_dup_for_wb_valid || s3_sc_dup_for_wb_valid)) {
1308    when (s3_can_do_amo_dup_for_wb_valid && s3_lr_dup_for_wb_valid) {
1309      lrsc_count_dup_for_wb_valid := (LRSCCycles - 1).U
1310      lrsc_addr_dup_for_wb_valid := get_block_addr(s3_req_addr_dup_for_wb_valid)
1311    }.otherwise {
1312      lrsc_count_dup_for_wb_valid := 0.U
1313    }
1314  }.elsewhen (io.invalid_resv_set) {
1315    lrsc_count_dup_for_wb_valid := 0.U
1316  }.elsewhen (lrsc_count_dup_for_wb_valid > 0.U) {
1317    lrsc_count_dup_for_wb_valid := lrsc_count_dup_for_wb_valid - 1.U
1318  }
1319
1320  val lrsc_valid_dup_for_wb_valid = lrsc_count_dup_for_wb_valid > LRSCBackOff.U
1321  val s3_lrsc_addr_match_dup_for_wb_valid = lrsc_valid_dup_for_wb_valid && lrsc_addr_dup_for_wb_valid === get_block_addr(s3_req_addr_dup_for_wb_valid)
1322  val s3_sc_fail_dup_for_wb_valid = s3_sc_dup_for_wb_valid && !s3_lrsc_addr_match_dup_for_wb_valid
1323  val s3_can_do_amo_write_dup_for_wb_valid = s3_can_do_amo_dup_for_wb_valid && isWrite(s3_req_cmd_dup_for_wb_valid) && !s3_sc_fail_dup_for_wb_valid
1324  val update_data_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid || s3_store_hit_dup_for_wb_valid || s3_can_do_amo_write_dup_for_wb_valid
1325
1326  val s3_probe_can_go_dup_for_wb_valid = s3_req_probe_dup_for_wb_valid &&
1327    io.wb_ready_dup(wbPort) &&
1328    (io.meta_write.ready || !probe_update_meta_dup_for_wb_valid)
1329  val s3_store_can_go_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_wb_valid &&
1330    (io.meta_write.ready || !store_update_meta_dup_for_wb_valid) &&
1331    (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid)
1332  val s3_amo_can_go_dup_for_wb_valid = s3_amo_hit_dup_for_wb_valid &&
1333    (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) &&
1334    (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) &&
1335    (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid)
1336  val s3_miss_can_go_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid &&
1337    (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) &&
1338    (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) &&
1339    (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) &&
1340    io.tag_write_ready_dup(wbPort) &&
1341    io.wb_ready_dup(wbPort)
1342  val s3_replace_can_go_dup_for_wb_valid = s3_req_replace_dup_for_wb_valid &&
1343    (s3_coh_dup_for_wb_valid.state === ClientStates.Nothing || io.wb_ready_dup(wbPort))
1344  val s3_can_go_dup_for_wb_valid = s3_probe_can_go_dup_for_wb_valid ||
1345    s3_store_can_go_dup_for_wb_valid ||
1346    s3_amo_can_go_dup_for_wb_valid ||
1347    s3_miss_can_go_dup_for_wb_valid ||
1348    s3_replace_can_go_dup_for_wb_valid
1349  val s3_update_data_cango_dup_for_wb_valid = s3_store_can_go_dup_for_wb_valid || s3_amo_can_go_dup_for_wb_valid || s3_miss_can_go_dup_for_wb_valid
1350
1351  val s3_fire_dup_for_wb_valid = s3_valid_dup_for_wb_valid && s3_can_go_dup_for_wb_valid
1352  when (do_amoalu_dup_for_wb_valid) { s3_s_amoalu_dup_for_wb_valid := true.B }
1353  when (s3_fire_dup_for_wb_valid) { s3_s_amoalu_dup_for_wb_valid := false.B }
1354
1355  val s3_banked_store_wmask_dup_for_wb_valid = RegEnable(s2_banked_store_wmask, s2_fire_to_s3)
1356  val s3_req_word_idx_dup_for_wb_valid = RegEnable(s2_req.word_idx, s2_fire_to_s3)
1357  val s3_replace_nothing_dup_for_wb_valid = s3_req_replace_dup_for_wb_valid && s3_coh_dup_for_wb_valid.state === ClientStates.Nothing
1358
1359  val s3_sc_data_merged_dup_for_wb_valid = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W)))
1360  val s3_req_amo_data_dup_for_wb_valid = RegEnable(s2_req.amo_data, s2_fire_to_s3)
1361  val s3_req_amo_mask_dup_for_wb_valid = RegEnable(s2_req.amo_mask, s2_fire_to_s3)
1362  for (i <- 0 until DCacheBanks) {
1363    val old_data = s3_store_data_merged(i)
1364    s3_sc_data_merged_dup_for_wb_valid(i) := mergePutData(old_data, s3_req_amo_data_dup_for_wb_valid,
1365      Mux(
1366        s3_req_word_idx_dup_for_wb_valid === i.U && !s3_sc_fail_dup_for_wb_valid,
1367        s3_req_amo_mask_dup_for_wb_valid,
1368        0.U(wordBytes.W)
1369      )
1370    )
1371  }
1372
1373  val s3_need_replacement_dup_for_wb_valid = RegEnable(s2_need_replacement, s2_fire_to_s3)
1374  val miss_wb_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid && s3_need_replacement_dup_for_wb_valid &&
1375    s3_coh_dup_for_wb_valid.state =/= ClientStates.Nothing
1376  val need_wb_dup_for_wb_valid = miss_wb_dup_for_wb_valid || s3_req_probe_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid
1377
1378  val s3_tag_dup_for_wb_valid = RegEnable(s2_tag, s2_fire_to_s3)
1379
1380  val (_, probe_shrink_param_dup_for_wb_valid, _) = s3_coh_dup_for_wb_valid.onProbe(s3_req_probe_param_dup_for_wb_valid)
1381  val (_, miss_shrink_param_dup_for_wb_valid, _) = s3_coh_dup_for_wb_valid.onCacheControl(M_FLUSH)
1382  val writeback_param_dup_for_wb_valid = Mux(
1383    s3_req_probe_dup_for_wb_valid,
1384    probe_shrink_param_dup_for_wb_valid,
1385    miss_shrink_param_dup_for_wb_valid
1386  )
1387  val writeback_data_dup_for_wb_valid = if (dcacheParameters.alwaysReleaseData) {
1388    s3_tag_match_dup_for_wb_valid && s3_req_probe_dup_for_wb_valid && RegEnable(s2_req.probe_need_data, s2_fire_to_s3) ||
1389      s3_coh_dup_for_wb_valid === ClientStates.Dirty || (miss_wb_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid) && s3_coh_dup_for_wb_valid.state =/= ClientStates.Nothing
1390  } else {
1391    s3_tag_match_dup_for_wb_valid && s3_req_probe_dup_for_wb_valid && RegEnable(s2_req.probe_need_data, s2_fire_to_s3) || s3_coh_dup_for_wb_valid === ClientStates.Dirty
1392  }
1393
1394  when (s2_fire_to_s3) { s3_valid_dup_for_wb_valid := true.B }
1395  .elsewhen (s3_fire_dup_for_wb_valid) { s3_valid_dup_for_wb_valid := false.B }
1396
1397  // -------------------------------------------------------------------------------------
1398
1399  val s3_fire = s3_valid_dup(4) && s3_can_go
1400  when (s2_fire_to_s3) {
1401    s3_valid := true.B
1402    s3_valid_dup.foreach(_ := true.B)
1403    s3_valid_dup_for_status.foreach(_ := true.B)
1404  }.elsewhen (s3_fire) {
1405    s3_valid := false.B
1406    s3_valid_dup.foreach(_ := false.B)
1407    s3_valid_dup_for_status.foreach(_ := false.B)
1408  }
1409  s3_ready := !s3_valid_dup(5) || s3_can_go
1410  s3_s0_set_conflict := s3_valid_dup(6) && s3_idx_dup(0) === s0_idx
1411  s3_s0_set_conflict_store := s3_valid_dup(7) && s3_idx_dup(1) === store_idx
1412  assert(RegNext(!s3_valid || !(s3_req_source_dup_2 === STORE_SOURCE.U && !s3_req.probe) || s3_hit)) // miss store should never come to s3
1413
1414  when(s3_fire) {
1415    s3_s_amoalu := false.B
1416    s3_s_amoalu_dup.foreach(_ := false.B)
1417  }
1418
1419  req.ready := s0_can_go
1420
1421  io.meta_read.valid := req.valid && s1_ready && !set_conflict
1422  io.meta_read.bits.idx := get_idx(s0_req.vaddr)
1423  io.meta_read.bits.way_en := Mux(s0_req.replace, s0_req.replace_way_en, ~0.U(nWays.W))
1424
1425  io.tag_read.valid := req.valid && s1_ready && !set_conflict && !s0_req.replace
1426  io.tag_read.bits.idx := get_idx(s0_req.vaddr)
1427  io.tag_read.bits.way_en := ~0.U(nWays.W)
1428
1429  io.data_read_intend := s1_valid_dup(3) && s1_need_data
1430  io.data_readline.valid := s1_valid_dup(4) && s1_need_data
1431  io.data_readline.bits.rmask := s1_banked_rmask
1432  io.data_readline.bits.way_en := s1_way_en
1433  io.data_readline.bits.addr := s1_req_vaddr_dup_for_data_read
1434
1435  io.miss_req.valid := s2_valid_dup(4) && s2_can_go_to_mq_dup(0)
1436  val miss_req = io.miss_req.bits
1437  miss_req := DontCare
1438  miss_req.source := s2_req.source
1439  miss_req.pf_source := L1_HW_PREFETCH_NULL
1440  miss_req.cmd := s2_req.cmd
1441  miss_req.addr := s2_req.addr
1442  miss_req.vaddr := s2_req_vaddr_dup_for_miss_req
1443  miss_req.way_en := Mux(s2_tag_match, s2_tag_match_way, s2_repl_way_en)
1444  miss_req.store_data := s2_req.store_data
1445  miss_req.store_mask := s2_req.store_mask
1446  miss_req.word_idx := s2_req.word_idx
1447  miss_req.amo_data := s2_req.amo_data
1448  miss_req.amo_mask := s2_req.amo_mask
1449  miss_req.req_coh := s2_hit_coh
1450  miss_req.replace_coh := s2_repl_coh
1451  miss_req.replace_tag := s2_repl_tag
1452  miss_req.replace_pf := L1_HW_PREFETCH_STORE // TODO: support store cache pollution monitor
1453  miss_req.id := s2_req.id
1454  miss_req.cancel := false.B
1455  miss_req.pc := DontCare
1456
1457  io.store_replay_resp.valid := s2_valid_dup(5) && s2_can_go_to_mq_dup(1) && replay && s2_req.isStore
1458  io.store_replay_resp.bits.data := DontCare
1459  io.store_replay_resp.bits.miss := true.B
1460  io.store_replay_resp.bits.replay := true.B
1461  io.store_replay_resp.bits.id := s2_req.id
1462
1463  io.store_hit_resp.valid := s3_valid_dup(8) && s3_store_can_go
1464  io.store_hit_resp.bits.data := DontCare
1465  io.store_hit_resp.bits.miss := false.B
1466  io.store_hit_resp.bits.replay := false.B
1467  io.store_hit_resp.bits.id := s3_req.id
1468
1469  io.release_update.valid := s3_valid_dup(9) && (s3_store_can_go || s3_amo_can_go) && s3_hit && update_data
1470  io.release_update.bits.addr := s3_req_addr_dup(3)
1471  io.release_update.bits.mask := Mux(s3_store_hit_dup(1), s3_banked_store_wmask, banked_amo_wmask)
1472  io.release_update.bits.data := Mux(
1473    amo_wait_amoalu,
1474    s3_amo_data_merged_reg,
1475    Mux(
1476      s3_sc,
1477      s3_sc_data_merged,
1478      s3_store_data_merged
1479    )
1480  ).asUInt
1481
1482  val atomic_hit_resp = Wire(new AtomicsResp)
1483  atomic_hit_resp.data := Mux(s3_sc, s3_sc_resp, s3_data_word)
1484  atomic_hit_resp.miss := false.B
1485  atomic_hit_resp.miss_id := s3_req.miss_id
1486  atomic_hit_resp.error := s3_error
1487  atomic_hit_resp.replay := false.B
1488  atomic_hit_resp.ack_miss_queue := s3_req_miss_dup(5)
1489  atomic_hit_resp.id := lrsc_valid_dup(2)
1490  val atomic_replay_resp = Wire(new AtomicsResp)
1491  atomic_replay_resp.data := DontCare
1492  atomic_replay_resp.miss := true.B
1493  atomic_replay_resp.miss_id := DontCare
1494  atomic_replay_resp.error := false.B
1495  atomic_replay_resp.replay := true.B
1496  atomic_replay_resp.ack_miss_queue := false.B
1497  atomic_replay_resp.id := DontCare
1498  val atomic_replay_resp_valid = s2_valid_dup(6) && s2_can_go_to_mq_dup(2) && replay && s2_req.isAMO
1499  val atomic_hit_resp_valid = s3_valid_dup(10) && (s3_amo_can_go || s3_miss_can_go && s3_req.isAMO)
1500  io.atomic_resp.valid := atomic_replay_resp_valid || atomic_hit_resp_valid
1501  io.atomic_resp.bits := Mux(atomic_replay_resp_valid, atomic_replay_resp, atomic_hit_resp)
1502
1503  io.replace_resp.valid := s3_fire && s3_req_replace_dup(3)
1504  io.replace_resp.bits := s3_req.miss_id
1505
1506  io.meta_write.valid := s3_fire_dup_for_meta_w_valid && update_meta_dup_for_meta_w_valid
1507  io.meta_write.bits.idx := s3_idx_dup(2)
1508  io.meta_write.bits.way_en := s3_way_en_dup(0)
1509  io.meta_write.bits.meta.coh := new_coh
1510
1511  io.error_flag_write.valid := s3_fire_dup_for_err_w_valid && update_meta_dup_for_err_w_valid && s3_l2_error
1512  io.error_flag_write.bits.idx := s3_idx_dup(3)
1513  io.error_flag_write.bits.way_en := s3_way_en_dup(1)
1514  io.error_flag_write.bits.flag := s3_l2_error
1515
1516  // if we use (prefetch_flag && meta =/= ClientStates.Nothing) for prefetch check
1517  // prefetch_flag_write can be omited
1518  // io.prefetch_flag_write.valid := io.meta_write.valid && new_coh === ClientStates.Nothing
1519  // io.prefetch_flag_write.bits.idx := s3_idx_dup(3)
1520  // io.prefetch_flag_write.bits.way_en := s3_way_en_dup(1)
1521  // io.prefetch_flag_write.bits.flag := false.B
1522  io.prefetch_flag_write.valid := false.B
1523  io.prefetch_flag_write.bits := DontCare
1524
1525  // probe / replace will not update access bit
1526  io.access_flag_write.valid := s3_fire_dup_for_meta_w_valid && !s3_req.probe && !s3_req.replace
1527  io.access_flag_write.bits.idx := s3_idx_dup(3)
1528  io.access_flag_write.bits.way_en := s3_way_en_dup(1)
1529  io.access_flag_write.bits.flag := true.B
1530
1531  io.tag_write.valid := s3_fire_dup_for_tag_w_valid && s3_req_miss_dup_for_tag_w_valid
1532  io.tag_write.bits.idx := s3_idx_dup(4)
1533  io.tag_write.bits.way_en := s3_way_en_dup(2)
1534  io.tag_write.bits.tag := get_tag(s3_req_addr_dup(4))
1535  io.tag_write.bits.vaddr := s3_req_vaddr_dup_for_data_write
1536
1537  io.tag_write_intend := s3_req_miss_dup(7) && s3_valid_dup(11)
1538  XSPerfAccumulate("fake_tag_write_intend", io.tag_write_intend && !io.tag_write.valid)
1539  XSPerfAccumulate("mainpipe_tag_write", io.tag_write.valid)
1540
1541  assert(!RegNext(io.tag_write.valid && !io.tag_write_intend))
1542
1543  io.data_write.valid := s3_valid_dup_for_data_w_valid && s3_update_data_cango_dup_for_data_w_valid && update_data_dup_for_data_w_valid
1544  io.data_write.bits.way_en := s3_way_en_dup(3)
1545  io.data_write.bits.addr := s3_req_vaddr_dup_for_data_write
1546  io.data_write.bits.wmask := banked_wmask
1547  io.data_write.bits.data := Mux(
1548    amo_wait_amoalu_dup_for_data_w_valid,
1549    s3_amo_data_merged_reg,
1550    Mux(
1551      s3_sc_dup_for_data_w_valid,
1552      s3_sc_data_merged_dup_for_data_w_valid,
1553      s3_store_data_merged
1554    )
1555  )
1556  assert(RegNext(!io.meta_write.valid || !s3_req.replace))
1557  assert(RegNext(!io.tag_write.valid || !s3_req.replace))
1558  assert(RegNext(!io.data_write.valid || !s3_req.replace))
1559
1560  io.wb.valid := s3_valid_dup_for_wb_valid && (
1561    // replace
1562    s3_req_replace_dup_for_wb_valid && !s3_replace_nothing_dup_for_wb_valid ||
1563    // probe can go to wbq
1564    s3_req_probe_dup_for_wb_valid && (io.meta_write.ready || !probe_update_meta_dup_for_wb_valid) ||
1565      // amo miss can go to wbq
1566      s3_req_miss_dup_for_wb_valid &&
1567        (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) &&
1568        (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) &&
1569        (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) &&
1570        io.tag_write_ready_dup(wbPort)
1571    ) && need_wb_dup_for_wb_valid
1572
1573  io.wb.bits.addr := get_block_addr(Cat(s3_tag_dup_for_wb_valid, get_untag(s3_req.vaddr)))
1574  io.wb.bits.param := writeback_param_dup_for_wb_valid
1575  io.wb.bits.voluntary := s3_req_miss_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid
1576  io.wb.bits.hasData := writeback_data_dup_for_wb_valid
1577  io.wb.bits.dirty := s3_coh_dup_for_wb_valid === ClientStates.Dirty
1578  io.wb.bits.data := s3_data.asUInt
1579  io.wb.bits.delay_release := s3_req_replace_dup_for_wb_valid
1580  io.wb.bits.miss_id := s3_req.miss_id
1581
1582  // update plru in main pipe s3
1583  if (!cfg.updateReplaceOn2ndmiss) {
1584  // replacement is only updated on 1st miss
1585    io.replace_access.valid := RegNext(
1586      // generated in mainpipe s1
1587      RegNext(s1_fire && (s1_req.isAMO || s1_req.isStore) && !s1_req.probe) &&
1588      // generated in mainpipe s2
1589      Mux(
1590        io.miss_req.valid,
1591        !io.miss_resp.merged && io.miss_req.ready, // if store miss, only update plru for the first miss
1592        true.B // normal store access
1593      )
1594    )
1595    io.replace_access.bits.set := RegNext(s2_idx_dup_for_replace_access)
1596    io.replace_access.bits.way := RegNext(RegNext(OHToUInt(s1_way_en)))
1597  } else {
1598    // replacement is updated on both 1st and 2nd miss
1599    // timing is worse than !cfg.updateReplaceOn2ndmiss
1600    io.replace_access.valid := RegNext(
1601      // generated in mainpipe s1
1602      RegNext(s1_fire && (s1_req.isAMO || s1_req.isStore) && !s1_req.probe) &&
1603      // generated in mainpipe s2
1604      Mux(
1605        io.miss_req.valid,
1606        io.miss_req.ready, // if store miss, do not update plru if that req needs to be replayed
1607        true.B // normal store access
1608      )
1609    )
1610    io.replace_access.bits.set := RegNext(s2_idx_dup_for_replace_access)
1611    io.replace_access.bits.way := RegNext(
1612      Mux(
1613        io.miss_req.valid && io.miss_resp.merged,
1614        // miss queue 2nd fire: access replace way selected at miss queue allocate time
1615        OHToUInt(io.miss_resp.repl_way_en),
1616        // new selected replace way or hit way
1617        RegNext(OHToUInt(s1_way_en))
1618      )
1619    )
1620  }
1621
1622  io.replace_way.set.valid := RegNext(s0_fire)
1623  io.replace_way.set.bits := s1_idx_dup_for_replace_way
1624  io.replace_way.dmWay := s1_dmWay_dup_for_replace_way
1625
1626  // TODO: consider block policy of a finer granularity
1627  io.status.s0_set.valid := req.valid
1628  io.status.s0_set.bits := get_idx(s0_req.vaddr)
1629  io.status.s1.valid := s1_valid_dup(5)
1630  io.status.s1.bits.set := s1_idx
1631  io.status.s1.bits.way_en := s1_way_en
1632  io.status.s2.valid := s2_valid_dup(7) && !s2_req_replace_dup_2
1633  io.status.s2.bits.set := s2_idx_dup_for_status
1634  io.status.s2.bits.way_en := s2_way_en
1635  io.status.s3.valid := s3_valid && !s3_req_replace_dup(7)
1636  io.status.s3.bits.set := s3_idx_dup(5)
1637  io.status.s3.bits.way_en := s3_way_en
1638
1639  for ((s, i) <- io.status_dup.zipWithIndex) {
1640    s.s1.valid := s1_valid_dup_for_status(i)
1641    s.s1.bits.set := RegEnable(get_idx(s0_req.vaddr), s0_fire)
1642    s.s1.bits.way_en := s1_way_en
1643    s.s2.valid := s2_valid_dup_for_status(i) && !RegEnable(s1_req.replace, s1_fire)
1644    s.s2.bits.set := RegEnable(get_idx(s1_req.vaddr), s1_fire)
1645    s.s2.bits.way_en := RegEnable(s1_way_en, s1_fire)
1646    s.s3.valid := s3_valid_dup_for_status(i) && !RegEnable(s2_req.replace, s2_fire_to_s3)
1647    s.s3.bits.set := RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3)
1648    s.s3.bits.way_en := RegEnable(s2_way_en, s2_fire_to_s3)
1649  }
1650  dontTouch(io.status_dup)
1651
1652  // report error to beu and csr, 1 cycle after read data resp
1653  io.error := 0.U.asTypeOf(new L1CacheErrorInfo())
1654  // report error, update error csr
1655  io.error.valid := s3_error && RegNext(s2_fire)
1656  // only tag_error and data_error will be reported to beu
1657  // l2_error should not be reported (l2 will report that)
1658  io.error.report_to_beu := (RegEnable(s2_tag_error, s2_fire) || s3_data_error) && RegNext(s2_fire)
1659  io.error.paddr := RegEnable(s2_req.addr, s2_fire)
1660  io.error.source.tag := RegEnable(s2_tag_error, s2_fire)
1661  io.error.source.data := s3_data_error
1662  io.error.source.l2 := RegEnable(s2_flag_error || s2_l2_error, s2_fire)
1663  io.error.opType.store := RegEnable(s2_req.isStore && !s2_req.probe, s2_fire)
1664  io.error.opType.probe := RegEnable(s2_req.probe, s2_fire)
1665  io.error.opType.release := RegEnable(s2_req.replace, s2_fire)
1666  io.error.opType.atom := RegEnable(s2_req.isAMO && !s2_req.probe, s2_fire)
1667
1668  val perfEvents = Seq(
1669    ("dcache_mp_req          ", s0_fire                                                      ),
1670    ("dcache_mp_total_penalty", PopCount(VecInit(Seq(s0_fire, s1_valid, s2_valid, s3_valid))))
1671  )
1672  generatePerfEvent()
1673}
1674