1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.tilelink.ClientStates._ 23import freechips.rocketchip.tilelink.MemoryOpCategories._ 24import freechips.rocketchip.tilelink.TLPermissions._ 25import freechips.rocketchip.tilelink.{ClientMetadata, ClientStates, TLPermissions} 26import utils._ 27import utility._ 28import xiangshan.{L1CacheErrorInfo, XSCoreParamsKey} 29import xiangshan.mem.prefetch._ 30import xiangshan.mem.HasL1PrefetchSourceParameter 31 32class MainPipeReq(implicit p: Parameters) extends DCacheBundle { 33 val miss = Bool() // only amo miss will refill in main pipe 34 val miss_id = UInt(log2Up(cfg.nMissEntries).W) 35 val miss_param = UInt(TLPermissions.bdWidth.W) 36 val miss_dirty = Bool() 37 38 val probe = Bool() 39 val probe_param = UInt(TLPermissions.bdWidth.W) 40 val probe_need_data = Bool() 41 42 // request info 43 // reqs from Store, AMO use this 44 // probe does not use this 45 val source = UInt(sourceTypeWidth.W) 46 val cmd = UInt(M_SZ.W) 47 // if dcache size > 32KB, vaddr is also needed for store 48 // vaddr is used to get extra index bits 49 val vaddr = UInt(VAddrBits.W) 50 // must be aligned to block 51 val addr = UInt(PAddrBits.W) 52 53 // store 54 val store_data = UInt((cfg.blockBytes * 8).W) 55 val store_mask = UInt(cfg.blockBytes.W) 56 57 // which word does amo work on? 58 val word_idx = UInt(log2Up(cfg.blockBytes * 8 / DataBits).W) 59 val amo_data = UInt(DataBits.W) 60 val amo_mask = UInt((DataBits / 8).W) 61 62 // error 63 val error = Bool() 64 65 // replace 66 val replace = Bool() 67 val replace_way_en = UInt(DCacheWays.W) 68 69 // prefetch 70 val pf_source = UInt(L1PfSourceBits.W) 71 val access = Bool() 72 73 val id = UInt(reqIdWidth.W) 74 75 def isLoad: Bool = source === LOAD_SOURCE.U 76 def isStore: Bool = source === STORE_SOURCE.U 77 def isAMO: Bool = source === AMO_SOURCE.U 78 79 def convertStoreReq(store: DCacheLineReq): MainPipeReq = { 80 val req = Wire(new MainPipeReq) 81 req := DontCare 82 req.miss := false.B 83 req.miss_dirty := false.B 84 req.probe := false.B 85 req.probe_need_data := false.B 86 req.source := STORE_SOURCE.U 87 req.cmd := store.cmd 88 req.addr := store.addr 89 req.vaddr := store.vaddr 90 req.store_data := store.data 91 req.store_mask := store.mask 92 req.replace := false.B 93 req.error := false.B 94 req.id := store.id 95 req 96 } 97} 98 99class MainPipeStatus(implicit p: Parameters) extends DCacheBundle { 100 val set = UInt(idxBits.W) 101 val way_en = UInt(nWays.W) 102} 103 104class MainPipeInfoToMQ(implicit p:Parameters) extends DCacheBundle { 105 val s2_valid = Bool() 106 val s2_miss_id = UInt(log2Up(cfg.nMissEntries).W) // For refill data selection 107 val s2_replay_to_mq = Bool() 108 val s3_valid = Bool() 109 val s3_miss_id = UInt(log2Up(cfg.nMissEntries).W) // For mshr release 110 val s3_refill_resp = Bool() 111} 112 113class MainPipe(implicit p: Parameters) extends DCacheModule with HasPerfEvents with HasL1PrefetchSourceParameter { 114 val io = IO(new Bundle() { 115 // probe queue 116 val probe_req = Flipped(DecoupledIO(new MainPipeReq)) 117 // store miss go to miss queue 118 val miss_req = DecoupledIO(new MissReq) 119 val miss_resp = Input(new MissResp) // miss resp is used to support plru update 120 val refill_req = Flipped(DecoupledIO(new MainPipeReq)) 121 // store buffer 122 val store_req = Flipped(DecoupledIO(new DCacheLineReq)) 123 val store_replay_resp = ValidIO(new DCacheLineResp) 124 val store_hit_resp = ValidIO(new DCacheLineResp) 125 val release_update = ValidIO(new ReleaseUpdate) 126 // atmoics 127 val atomic_req = Flipped(DecoupledIO(new MainPipeReq)) 128 val atomic_resp = ValidIO(new MainPipeResp) 129 // find matched refill data in missentry 130 val mainpipe_info = Output(new MainPipeInfoToMQ) 131 // missqueue refill data 132 val refill_info = Flipped(ValidIO(new MissQueueRefillInfo)) 133 // write-back queue 134 val wb = DecoupledIO(new WritebackReq) 135 val wb_ready_dup = Vec(nDupWbReady, Input(Bool())) 136 137 // data sram 138 val data_read = Vec(LoadPipelineWidth, Input(Bool())) 139 val data_read_intend = Output(Bool()) 140 val data_readline = DecoupledIO(new L1BankedDataReadLineReq) 141 val data_resp = Input(Vec(DCacheBanks, new L1BankedDataReadResult())) 142 val readline_error_delayed = Input(Bool()) 143 val data_write = DecoupledIO(new L1BankedDataWriteReq) 144 val data_write_dup = Vec(DCacheBanks, Valid(new L1BankedDataWriteReqCtrl)) 145 val data_write_ready_dup = Vec(nDupDataWriteReady, Input(Bool())) 146 147 // meta array 148 val meta_read = DecoupledIO(new MetaReadReq) 149 val meta_resp = Input(Vec(nWays, new Meta)) 150 val meta_write = DecoupledIO(new CohMetaWriteReq) 151 val extra_meta_resp = Input(Vec(nWays, new DCacheExtraMeta)) 152 val error_flag_write = DecoupledIO(new FlagMetaWriteReq) 153 val prefetch_flag_write = DecoupledIO(new SourceMetaWriteReq) 154 val access_flag_write = DecoupledIO(new FlagMetaWriteReq) 155 156 // tag sram 157 val tag_read = DecoupledIO(new TagReadReq) 158 val tag_resp = Input(Vec(nWays, UInt(encTagBits.W))) 159 val tag_write = DecoupledIO(new TagWriteReq) 160 val tag_write_ready_dup = Vec(nDupTagWriteReady, Input(Bool())) 161 val tag_write_intend = Output(new Bool()) 162 163 // update state vec in replacement algo 164 val replace_access = ValidIO(new ReplacementAccessBundle) 165 // find the way to be replaced 166 val replace_way = new ReplacementWayReqIO 167 168 // sms prefetch 169 val sms_agt_evict_req = DecoupledIO(new AGTEvictReq) 170 171 val status = new Bundle() { 172 val s0_set = ValidIO(UInt(idxBits.W)) 173 val s1, s2, s3 = ValidIO(new MainPipeStatus) 174 } 175 val status_dup = Vec(nDupStatus, new Bundle() { 176 val s1, s2, s3 = ValidIO(new MainPipeStatus) 177 }) 178 179 // lrsc locked block should block probe 180 val lrsc_locked_block = Output(Valid(UInt(PAddrBits.W))) 181 val invalid_resv_set = Input(Bool()) 182 val update_resv_set = Output(Bool()) 183 val block_lr = Output(Bool()) 184 185 // ecc error 186 val error = Output(ValidIO(new L1CacheErrorInfo)) 187 // force write 188 val force_write = Input(Bool()) 189 190 val bloom_filter_query = new Bundle { 191 val set = ValidIO(new BloomQueryBundle(BLOOM_FILTER_ENTRY_NUM)) 192 val clr = ValidIO(new BloomQueryBundle(BLOOM_FILTER_ENTRY_NUM)) 193 } 194 }) 195 196 // meta array is made of regs, so meta write or read should always be ready 197 assert(RegNext(io.meta_read.ready)) 198 assert(RegNext(io.meta_write.ready)) 199 200 val s1_s0_set_conflict, s2_s0_set_conlict, s3_s0_set_conflict = Wire(Bool()) 201 val set_conflict = s1_s0_set_conflict || s2_s0_set_conlict || s3_s0_set_conflict 202 // check sbuffer store req set_conflict in parallel with req arbiter 203 // it will speed up the generation of store_req.ready, which is in crit. path 204 val s1_s0_set_conflict_store, s2_s0_set_conlict_store, s3_s0_set_conflict_store = Wire(Bool()) 205 val store_set_conflict = s1_s0_set_conflict_store || s2_s0_set_conlict_store || s3_s0_set_conflict_store 206 val s1_ready, s2_ready, s3_ready = Wire(Bool()) 207 208 // convert store req to main pipe req, and select a req from store and probe 209 val storeWaitCycles = RegInit(0.U(4.W)) 210 val StoreWaitThreshold = Wire(UInt(4.W)) 211 StoreWaitThreshold := Constantin.createRecord(s"StoreWaitThreshold_${p(XSCoreParamsKey).HartId}", initValue = 0) 212 val storeWaitTooLong = storeWaitCycles >= StoreWaitThreshold 213 val loadsAreComing = io.data_read.asUInt.orR 214 val storeCanAccept = storeWaitTooLong || !loadsAreComing || io.force_write 215 216 val store_req = Wire(DecoupledIO(new MainPipeReq)) 217 store_req.bits := (new MainPipeReq).convertStoreReq(io.store_req.bits) 218 store_req.valid := io.store_req.valid && storeCanAccept 219 io.store_req.ready := store_req.ready && storeCanAccept 220 221 222 when (store_req.fire) { // if wait too long and write success, reset counter. 223 storeWaitCycles := 0.U 224 } .elsewhen (storeWaitCycles < StoreWaitThreshold && io.store_req.valid && !store_req.ready) { // if block store, increase counter. 225 storeWaitCycles := storeWaitCycles + 1.U 226 } 227 228 // s0: read meta and tag 229 val req = Wire(DecoupledIO(new MainPipeReq)) 230 arbiter( 231 in = Seq( 232 io.probe_req, 233 io.refill_req, 234 store_req, // Note: store_req.ready is now manually assigned for better timing 235 io.atomic_req, 236 ), 237 out = req, 238 name = Some("main_pipe_req") 239 ) 240 241 val store_idx = get_idx(io.store_req.bits.vaddr) 242 // manually assign store_req.ready for better timing 243 // now store_req set conflict check is done in parallel with req arbiter 244 store_req.ready := io.meta_read.ready && io.tag_read.ready && s1_ready && !store_set_conflict && 245 !io.probe_req.valid && !io.refill_req.valid && !io.atomic_req.valid 246 val s0_req = req.bits 247 val s0_idx = get_idx(s0_req.vaddr) 248 val s0_need_tag = io.tag_read.valid 249 val s0_can_go = io.meta_read.ready && io.tag_read.ready && s1_ready && !set_conflict 250 val s0_fire = req.valid && s0_can_go 251 252 val bank_write = VecInit((0 until DCacheBanks).map(i => get_mask_of_bank(i, s0_req.store_mask).orR)).asUInt 253 val bank_full_write = VecInit((0 until DCacheBanks).map(i => get_mask_of_bank(i, s0_req.store_mask).andR)).asUInt 254 val banks_full_overwrite = bank_full_write.andR 255 256 val banked_store_rmask = bank_write & ~bank_full_write 257 val banked_full_rmask = ~0.U(DCacheBanks.W) 258 val banked_none_rmask = 0.U(DCacheBanks.W) 259 260 val store_need_data = !s0_req.probe && s0_req.isStore && banked_store_rmask.orR 261 val probe_need_data = s0_req.probe 262 val amo_need_data = !s0_req.probe && s0_req.isAMO 263 val miss_need_data = s0_req.miss 264 val replace_need_data = s0_req.replace 265 266 val banked_need_data = store_need_data || probe_need_data || amo_need_data || miss_need_data || replace_need_data 267 268 val s0_banked_rmask = Mux(store_need_data, banked_store_rmask, 269 Mux(probe_need_data || amo_need_data || miss_need_data || replace_need_data, 270 banked_full_rmask, 271 banked_none_rmask 272 )) 273 274 // generate wmask here and use it in stage 2 275 val banked_store_wmask = bank_write 276 val banked_full_wmask = ~0.U(DCacheBanks.W) 277 val banked_none_wmask = 0.U(DCacheBanks.W) 278 279 // s1: read data 280 val s1_valid = RegInit(false.B) 281 val s1_need_data = RegEnable(banked_need_data, s0_fire) 282 val s1_req = RegEnable(s0_req, s0_fire) 283 val s1_banked_rmask = RegEnable(s0_banked_rmask, s0_fire) 284 val s1_banked_store_wmask = RegEnable(banked_store_wmask, s0_fire) 285 val s1_need_tag = RegEnable(s0_need_tag, s0_fire) 286 val s1_can_go = s2_ready && (io.data_readline.ready || !s1_need_data) 287 val s1_fire = s1_valid && s1_can_go 288 val s1_idx = get_idx(s1_req.vaddr) 289 290 // duplicate regs to reduce fanout 291 val s1_valid_dup = RegInit(VecInit(Seq.fill(6)(false.B))) 292 val s1_req_vaddr_dup_for_data_read = RegEnable(s0_req.vaddr, s0_fire) 293 val s1_idx_dup_for_replace_way = RegEnable(get_idx(s0_req.vaddr), s0_fire) 294 val s1_dmWay_dup_for_replace_way = RegEnable(get_direct_map_way(s0_req.vaddr), s0_fire) 295 296 val s1_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B))) 297 298 when (s0_fire) { 299 s1_valid := true.B 300 s1_valid_dup.foreach(_ := true.B) 301 s1_valid_dup_for_status.foreach(_ := true.B) 302 }.elsewhen (s1_fire) { 303 s1_valid := false.B 304 s1_valid_dup.foreach(_ := false.B) 305 s1_valid_dup_for_status.foreach(_ := false.B) 306 } 307 s1_ready := !s1_valid_dup(0) || s1_can_go 308 s1_s0_set_conflict := s1_valid_dup(1) && s0_idx === s1_idx 309 s1_s0_set_conflict_store := s1_valid_dup(2) && store_idx === s1_idx 310 311 val meta_resp = Wire(Vec(nWays, (new Meta).asUInt)) 312 val tag_resp = Wire(Vec(nWays, UInt(tagBits.W))) 313 val ecc_resp = Wire(Vec(nWays, UInt(eccTagBits.W))) 314 meta_resp := Mux(GatedValidRegNext(s0_fire), VecInit(io.meta_resp.map(_.asUInt)), RegEnable(meta_resp, s1_valid)) 315 tag_resp := Mux(GatedValidRegNext(s0_fire), VecInit(io.tag_resp.map(r => r(tagBits - 1, 0))), RegEnable(tag_resp, s1_valid)) 316 ecc_resp := Mux(GatedValidRegNext(s0_fire), VecInit(io.tag_resp.map(r => r(encTagBits - 1, tagBits))), RegEnable(ecc_resp, s1_valid)) 317 val enc_tag_resp = Wire(io.tag_resp.cloneType) 318 enc_tag_resp := Mux(GatedValidRegNext(s0_fire), io.tag_resp, RegEnable(enc_tag_resp, s1_valid)) 319 320 def wayMap[T <: Data](f: Int => T) = VecInit((0 until nWays).map(f)) 321 val s1_tag_eq_way = wayMap((w: Int) => tag_resp(w) === get_tag(s1_req.addr)).asUInt 322 val s1_tag_match_way = wayMap((w: Int) => s1_tag_eq_way(w) && Meta(meta_resp(w)).coh.isValid()).asUInt 323 val s1_tag_match = ParallelORR(s1_tag_match_way) 324 325 val s1_hit_tag = Mux(s1_tag_match, ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => tag_resp(w))), get_tag(s1_req.addr)) 326 val s1_hit_coh = ClientMetadata(ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => meta_resp(w)))) 327 val s1_encTag = ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => enc_tag_resp(w))) 328 val s1_flag_error = ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).error)) 329 val s1_extra_meta = ParallelMux(s1_tag_match_way.asBools, (0 until nWays).map(w => io.extra_meta_resp(w))) 330 331 XSPerfAccumulate("probe_unused_prefetch", s1_req.probe && isFromL1Prefetch(s1_extra_meta.prefetch) && !s1_extra_meta.access) // may not be accurate 332 XSPerfAccumulate("replace_unused_prefetch", s1_req.replace && isFromL1Prefetch(s1_extra_meta.prefetch) && !s1_extra_meta.access) // may not be accurate 333 334 // replacement policy 335 val s1_invalid_vec = wayMap(w => !meta_resp(w).asTypeOf(new Meta).coh.isValid()) 336 val s1_have_invalid_way = s1_invalid_vec.asUInt.orR 337 val s1_invalid_way_en = ParallelPriorityMux(s1_invalid_vec.zipWithIndex.map(x => x._1 -> UIntToOH(x._2.U(nWays.W)))) 338 val s1_repl_way_en = WireInit(0.U(nWays.W)) 339 s1_repl_way_en := Mux( 340 GatedValidRegNext(s0_fire), 341 UIntToOH(io.replace_way.way), 342 RegEnable(s1_repl_way_en, s1_valid) 343 ) 344 val s1_repl_tag = ParallelMux(s1_repl_way_en.asBools, (0 until nWays).map(w => tag_resp(w))) 345 val s1_repl_coh = ParallelMux(s1_repl_way_en.asBools, (0 until nWays).map(w => meta_resp(w))).asTypeOf(new ClientMetadata) 346 val s1_repl_pf = ParallelMux(s1_repl_way_en.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).prefetch)) 347 348 val s1_repl_way_raw = WireInit(0.U(log2Up(nWays).W)) 349 s1_repl_way_raw := Mux(GatedValidRegNext(s0_fire), io.replace_way.way, RegEnable(s1_repl_way_raw, s1_valid)) 350 351 val s1_need_replacement = (s1_req.miss || s1_req.isStore && !s1_req.probe) && !s1_tag_match 352 353 val s1_way_en = Mux(s1_need_replacement, s1_repl_way_en, s1_tag_match_way) 354 assert(!RegNext(s1_fire && PopCount(s1_way_en) > 1.U)) 355 356 val s1_tag = Mux(s1_need_replacement, s1_repl_tag, s1_hit_tag) 357 358 val s1_coh = Mux(s1_need_replacement, s1_repl_coh, s1_hit_coh) 359 360 XSPerfAccumulate("store_has_invalid_way_but_select_valid_way", io.replace_way.set.valid && wayMap(w => !meta_resp(w).asTypeOf(new Meta).coh.isValid()).asUInt.orR && s1_need_replacement && s1_repl_coh.isValid()) 361 XSPerfAccumulate("store_using_replacement", io.replace_way.set.valid && s1_need_replacement) 362 363 val s1_has_permission = s1_hit_coh.onAccess(s1_req.cmd)._1 364 val s1_hit = s1_tag_match && s1_has_permission 365 val s1_pregen_can_go_to_mq = !s1_req.replace && !s1_req.probe && !s1_req.miss && (s1_req.isStore || s1_req.isAMO) && !s1_hit 366 367 // s2: select data, return resp if this is a store miss 368 val s2_valid = RegInit(false.B) 369 val s2_req = RegEnable(s1_req, s1_fire) 370 val s2_tag_match = RegEnable(s1_tag_match, s1_fire) 371 val s2_tag_match_way = RegEnable(s1_tag_match_way, s1_fire) 372 val s2_hit_coh = RegEnable(s1_hit_coh, s1_fire) 373 val (s2_has_permission, _, s2_new_hit_coh) = s2_hit_coh.onAccess(s2_req.cmd) 374 375 val s2_repl_tag = RegEnable(s1_repl_tag, s1_fire) 376 val s2_repl_coh = RegEnable(s1_repl_coh, s1_fire) 377 val s2_repl_pf = RegEnable(s1_repl_pf, s1_fire) 378 val s2_need_replacement = RegEnable(s1_need_replacement, s1_fire) 379 val s2_need_data = RegEnable(s1_need_data, s1_fire) 380 val s2_need_tag = RegEnable(s1_need_tag, s1_fire) 381 val s2_encTag = RegEnable(s1_encTag, s1_fire) 382 val s2_idx = get_idx(s2_req.vaddr) 383 384 // duplicate regs to reduce fanout 385 val s2_valid_dup = RegInit(VecInit(Seq.fill(8)(false.B))) 386 val s2_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B))) 387 val s2_req_vaddr_dup_for_miss_req = RegEnable(s1_req.vaddr, s1_fire) 388 val s2_idx_dup_for_status = RegEnable(get_idx(s1_req.vaddr), s1_fire) 389 val s2_idx_dup_for_replace_access = RegEnable(get_idx(s1_req.vaddr), s1_fire) 390 391 val s2_req_replace_dup_1, 392 s2_req_replace_dup_2 = RegEnable(s1_req.replace, s1_fire) 393 394 val s2_can_go_to_mq_dup = (0 until 3).map(_ => RegEnable(s1_pregen_can_go_to_mq, s1_fire)) 395 396 val s2_way_en = RegEnable(s1_way_en, s1_fire) 397 val s2_tag = RegEnable(s1_tag, s1_fire) 398 val s2_coh = RegEnable(s1_coh, s1_fire) 399 val s2_banked_store_wmask = RegEnable(s1_banked_store_wmask, s1_fire) 400 val s2_flag_error = RegEnable(s1_flag_error, s1_fire) 401 val s2_tag_error = WireInit(false.B) 402 val s2_l2_error = io.refill_info.bits.error 403 val s2_error = s2_flag_error || s2_tag_error || s2_l2_error // data_error not included 404 405 val s2_may_report_data_error = s2_need_data && s2_coh.state =/= ClientStates.Nothing 406 407 val s2_hit = s2_tag_match && s2_has_permission 408 val s2_amo_hit = s2_hit && !s2_req.probe && !s2_req.miss && s2_req.isAMO 409 val s2_store_hit = s2_hit && !s2_req.probe && !s2_req.miss && s2_req.isStore 410 411 if(EnableTagEcc) { 412 s2_tag_error := dcacheParameters.tagCode.decode(s2_encTag).error && s2_need_tag 413 }else { 414 s2_tag_error := false.B 415 } 416 417 s2_s0_set_conlict := s2_valid_dup(0) && s0_idx === s2_idx 418 s2_s0_set_conlict_store := s2_valid_dup(1) && store_idx === s2_idx 419 420 // For a store req, it either hits and goes to s3, or miss and enter miss queue immediately 421 val s2_req_miss_without_data = Mux(s2_valid, s2_req.miss && !io.refill_info.valid, false.B) 422 val s2_can_go_to_mq_replay = s2_req_miss_without_data && RegEnable(s2_req_miss_without_data && !io.mainpipe_info.s2_replay_to_mq, false.B, s2_valid) // miss_req in s2 but refill data is invalid, can block 1 cycle 423 val s2_can_go_to_s3 = (s2_req_replace_dup_1 || s2_req.probe || (s2_req.miss && io.refill_info.valid) || (s2_req.isStore || s2_req.isAMO) && s2_hit) && s3_ready 424 val s2_can_go_to_mq = RegEnable(s1_pregen_can_go_to_mq, s1_fire) 425 assert(RegNext(!(s2_valid && s2_can_go_to_s3 && s2_can_go_to_mq && s2_can_go_to_mq_replay))) 426 val s2_can_go = s2_can_go_to_s3 || s2_can_go_to_mq || s2_can_go_to_mq_replay 427 val s2_fire = s2_valid && s2_can_go 428 val s2_fire_to_s3 = s2_valid_dup(2) && s2_can_go_to_s3 429 when (s1_fire) { 430 s2_valid := true.B 431 s2_valid_dup.foreach(_ := true.B) 432 s2_valid_dup_for_status.foreach(_ := true.B) 433 }.elsewhen (s2_fire) { 434 s2_valid := false.B 435 s2_valid_dup.foreach(_ := false.B) 436 s2_valid_dup_for_status.foreach(_ := false.B) 437 } 438 s2_ready := !s2_valid_dup(3) || s2_can_go 439 val replay = !io.miss_req.ready 440 441 val data_resp = Wire(io.data_resp.cloneType) 442 data_resp := Mux(GatedValidRegNext(s1_fire), io.data_resp, RegEnable(data_resp, s2_valid)) 443 val s2_store_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 444 445 def mergePutData(old_data: UInt, new_data: UInt, wmask: UInt): UInt = { 446 val full_wmask = FillInterleaved(8, wmask) 447 ((~full_wmask & old_data) | (full_wmask & new_data)) 448 } 449 450 val s2_data = WireInit(VecInit((0 until DCacheBanks).map(i => { 451 data_resp(i).raw_data 452 }))) 453 454 for (i <- 0 until DCacheBanks) { 455 val old_data = s2_data(i) 456 val new_data = get_data_of_bank(i, Mux(s2_req.miss, io.refill_info.bits.store_data, s2_req.store_data)) 457 // for amo hit, we should use read out SRAM data 458 // do not merge with store data 459 val wmask = Mux(s2_amo_hit, 0.U(wordBytes.W), get_mask_of_bank(i, Mux(s2_req.miss, io.refill_info.bits.store_mask, s2_req.store_mask))) 460 s2_store_data_merged(i) := mergePutData(old_data, new_data, wmask) 461 } 462 463 val s2_data_word = s2_store_data_merged(s2_req.word_idx) 464 465 XSError(s2_valid && s2_can_go_to_s3 && s2_req.miss && !io.refill_info.valid, "MainPipe req can go to s3 but no refill data") 466 467 // s3: write data, meta and tag 468 val s3_valid = RegInit(false.B) 469 val s3_req = RegEnable(s2_req, s2_fire_to_s3) 470 val s3_miss_param = RegEnable(io.refill_info.bits.miss_param, s2_fire_to_s3) 471 val s3_miss_dirty = RegEnable(io.refill_info.bits.miss_dirty, s2_fire_to_s3) 472 val s3_tag = RegEnable(s2_tag, s2_fire_to_s3) 473 val s3_tag_match = RegEnable(s2_tag_match, s2_fire_to_s3) 474 val s3_coh = RegEnable(s2_coh, s2_fire_to_s3) 475 val s3_hit = RegEnable(s2_hit, s2_fire_to_s3) 476 val s3_amo_hit = RegEnable(s2_amo_hit, s2_fire_to_s3) 477 val s3_store_hit = RegEnable(s2_store_hit, s2_fire_to_s3) 478 val s3_hit_coh = RegEnable(s2_hit_coh, s2_fire_to_s3) 479 val s3_new_hit_coh = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 480 val s3_way_en = RegEnable(s2_way_en, s2_fire_to_s3) 481 val s3_banked_store_wmask = RegEnable(s2_banked_store_wmask, s2_fire_to_s3) 482 val s3_store_data_merged = RegEnable(s2_store_data_merged, s2_fire_to_s3) 483 val s3_data_word = RegEnable(s2_data_word, s2_fire_to_s3) 484 val s3_data = RegEnable(s2_data, s2_fire_to_s3) 485 val s3_l2_error = RegEnable(s2_l2_error, s2_fire_to_s3) 486 // data_error will be reported by data array 1 cycle after data read resp 487 val s3_data_error = Wire(Bool()) 488 s3_data_error := Mux(GatedValidRegNextN(s1_fire,2), // ecc check result is generated 2 cycle after read req 489 io.readline_error_delayed && RegNext(s2_may_report_data_error), 490 RegNext(s3_data_error) // do not update s3_data_error if !s1_fire 491 ) 492 // error signal for amo inst 493 // s3_error = s3_flag_error || s3_tag_error || s3_l2_error || s3_data_error 494 val s3_error = RegEnable(s2_error, s2_fire_to_s3) || s3_data_error 495 val (_, _, probe_new_coh) = s3_coh.onProbe(s3_req.probe_param) 496 val s3_need_replacement = RegEnable(s2_need_replacement, s2_fire_to_s3) 497 498 // duplicate regs to reduce fanout 499 val s3_valid_dup = RegInit(VecInit(Seq.fill(14)(false.B))) 500 val s3_valid_dup_for_status = RegInit(VecInit(Seq.fill(nDupStatus)(false.B))) 501 val s3_way_en_dup = (0 until 4).map(_ => RegEnable(s2_way_en, s2_fire_to_s3)) 502 val s3_coh_dup = (0 until 6).map(_ => RegEnable(s2_coh, s2_fire_to_s3)) 503 val s3_tag_match_dup = RegEnable(s2_tag_match, s2_fire_to_s3) 504 505 val s3_req_vaddr_dup_for_wb, 506 s3_req_vaddr_dup_for_data_write = RegEnable(s2_req.vaddr, s2_fire_to_s3) 507 508 val s3_idx_dup = (0 until 6).map(_ => RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3)) 509 val s3_idx_dup_for_replace_access = RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3) 510 511 val s3_req_replace_dup = (0 until 8).map(_ => RegEnable(s2_req.replace, s2_fire_to_s3)) 512 val s3_req_cmd_dup = (0 until 6).map(_ => RegEnable(s2_req.cmd, s2_fire_to_s3)) 513 val s3_req_source_dup_1, s3_req_source_dup_2 = RegEnable(s2_req.source, s2_fire_to_s3) 514 val s3_req_addr_dup = (0 until 5).map(_ => RegEnable(s2_req.addr, s2_fire_to_s3)) 515 val s3_req_probe_dup = (0 until 10).map(_ => RegEnable(s2_req.probe, s2_fire_to_s3)) 516 val s3_req_miss_dup = (0 until 10).map(_ => RegEnable(s2_req.miss, s2_fire_to_s3)) 517 val s3_req_word_idx_dup = (0 until DCacheBanks).map(_ => RegEnable(s2_req.word_idx, s2_fire_to_s3)) 518 519 val s3_need_replacement_dup = RegEnable(s2_need_replacement, s2_fire_to_s3) 520 521 val s3_s_amoalu_dup = RegInit(VecInit(Seq.fill(3)(false.B))) 522 523 val s3_hit_coh_dup = RegEnable(s2_hit_coh, s2_fire_to_s3) 524 val s3_new_hit_coh_dup = (0 until 2).map(_ => RegEnable(s2_new_hit_coh, s2_fire_to_s3)) 525 val s3_amo_hit_dup = RegEnable(s2_amo_hit, s2_fire_to_s3) 526 val s3_store_hit_dup = (0 until 2).map(_ => RegEnable(s2_store_hit, s2_fire_to_s3)) 527 528 val lrsc_count_dup = RegInit(VecInit(Seq.fill(3)(0.U(log2Ceil(LRSCCycles).W)))) 529 val lrsc_valid_dup = lrsc_count_dup.map { case cnt => cnt > LRSCBackOff.U } 530 val lrsc_addr_dup = Reg(UInt()) 531 532 val s3_req_probe_param_dup = RegEnable(s2_req.probe_param, s2_fire_to_s3) 533 val (_, probe_shrink_param, _) = s3_coh.onProbe(s3_req_probe_param_dup) 534 535 536 val miss_update_meta = s3_req.miss 537 val probe_update_meta = s3_req_probe_dup(0) && s3_tag_match_dup && s3_coh_dup(0) =/= probe_new_coh 538 val store_update_meta = s3_req.isStore && !s3_req_probe_dup(1) && s3_hit_coh =/= s3_new_hit_coh_dup(0) 539 val amo_update_meta = s3_req.isAMO && !s3_req_probe_dup(2) && s3_hit_coh_dup =/= s3_new_hit_coh_dup(1) 540 val amo_wait_amoalu = s3_req.isAMO && s3_req_cmd_dup(0) =/= M_XLR && s3_req_cmd_dup(1) =/= M_XSC 541 val update_meta = (miss_update_meta || probe_update_meta || store_update_meta || amo_update_meta) && !s3_req_replace_dup(0) 542 543 def missCohGen(cmd: UInt, param: UInt, dirty: Bool) = { 544 val c = categorize(cmd) 545 MuxLookup(Cat(c, param, dirty), Nothing)(Seq( 546 //(effect param) -> (next) 547 Cat(rd, toB, false.B) -> Branch, 548 Cat(rd, toB, true.B) -> Branch, 549 Cat(rd, toT, false.B) -> Trunk, 550 Cat(rd, toT, true.B) -> Dirty, 551 Cat(wi, toT, false.B) -> Trunk, 552 Cat(wi, toT, true.B) -> Dirty, 553 Cat(wr, toT, false.B) -> Dirty, 554 Cat(wr, toT, true.B) -> Dirty)) 555 } 556 557 val miss_new_coh = ClientMetadata(missCohGen(s3_req_cmd_dup(2), s3_miss_param, s3_miss_dirty)) 558 559 // LR, SC and AMO 560 val debug_sc_fail_addr = RegInit(0.U) 561 val debug_sc_fail_cnt = RegInit(0.U(8.W)) 562 val debug_sc_addr_match_fail_cnt = RegInit(0.U(8.W)) 563 564 val lrsc_count = RegInit(0.U(log2Ceil(LRSCCycles).W)) 565 // val lrsc_valid = lrsc_count > LRSCBackOff.U 566 val lrsc_addr = Reg(UInt()) 567 val s3_lr = !s3_req_probe_dup(3) && s3_req.isAMO && s3_req_cmd_dup(3) === M_XLR 568 val s3_sc = !s3_req_probe_dup(4) && s3_req.isAMO && s3_req_cmd_dup(4) === M_XSC 569 val s3_lrsc_addr_match = lrsc_valid_dup(0) && lrsc_addr === get_block_addr(s3_req.addr) 570 val s3_sc_fail = s3_sc && !s3_lrsc_addr_match 571 val debug_s3_sc_fail_addr_match = s3_sc && lrsc_addr === get_block_addr(s3_req.addr) && !lrsc_valid_dup(0) 572 val s3_sc_resp = Mux(s3_sc_fail, 1.U, 0.U) 573 574 val s3_can_do_amo = (s3_req_miss_dup(0) && !s3_req_probe_dup(5) && s3_req.isAMO) || s3_amo_hit 575 val s3_can_do_amo_write = s3_can_do_amo && isWrite(s3_req_cmd_dup(5)) && !s3_sc_fail 576 577 val lrsc_valid = lrsc_count > 0.U 578 579 when (s3_valid_dup(0) && (s3_lr || s3_sc)) { 580 when (s3_can_do_amo && s3_lr) { 581 lrsc_count := (LRSCCycles - 1).U 582 lrsc_count_dup.foreach(_ := (LRSCCycles - 1).U) 583 lrsc_addr := get_block_addr(s3_req_addr_dup(0)) 584 lrsc_addr_dup := get_block_addr(s3_req_addr_dup(0)) 585 } .otherwise { 586 lrsc_count := 0.U 587 lrsc_count_dup.foreach(_ := 0.U) 588 } 589 }.elsewhen (io.invalid_resv_set) { 590 // when we release this block, 591 // we invalidate this reservation set 592 lrsc_count := 0.U 593 lrsc_count_dup.foreach(_ := 0.U) 594 }.elsewhen (lrsc_valid) { 595 lrsc_count := lrsc_count - 1.U 596 lrsc_count_dup.foreach({case cnt => 597 cnt := cnt - 1.U 598 }) 599 } 600 601 602 io.lrsc_locked_block.valid := lrsc_valid_dup(1) 603 io.lrsc_locked_block.bits := lrsc_addr_dup 604 io.block_lr := GatedValidRegNext(lrsc_valid) 605 606 // When we update update_resv_set, block all probe req in the next cycle 607 // It should give Probe reservation set addr compare an independent cycle, 608 // which will lead to better timing 609 io.update_resv_set := s3_valid_dup(1) && s3_lr && s3_can_do_amo 610 611 when (s3_valid_dup(2)) { 612 when (s3_req_addr_dup(1) === debug_sc_fail_addr) { 613 when (s3_sc_fail) { 614 debug_sc_fail_cnt := debug_sc_fail_cnt + 1.U 615 } .elsewhen (s3_sc) { 616 debug_sc_fail_cnt := 0.U 617 } 618 } .otherwise { 619 when (s3_sc_fail) { 620 debug_sc_fail_addr := s3_req_addr_dup(2) 621 debug_sc_fail_cnt := 1.U 622 XSWarn(s3_sc_fail === 100.U, p"L1DCache failed too many SCs in a row 0x${Hexadecimal(debug_sc_fail_addr)}, check if sth went wrong\n") 623 } 624 } 625 } 626 XSWarn(debug_sc_fail_cnt > 100.U, "L1DCache failed too many SCs in a row") 627 628 when (s3_valid_dup(2)) { 629 when (s3_req_addr_dup(1) === debug_sc_fail_addr) { 630 when (debug_s3_sc_fail_addr_match) { 631 debug_sc_addr_match_fail_cnt := debug_sc_addr_match_fail_cnt + 1.U 632 } .elsewhen (s3_sc) { 633 debug_sc_addr_match_fail_cnt := 0.U 634 } 635 } .otherwise { 636 when (s3_sc_fail) { 637 debug_sc_addr_match_fail_cnt := 1.U 638 } 639 } 640 } 641 XSError(debug_sc_addr_match_fail_cnt > 100.U, "L1DCache failed too many SCs in a row, resv set addr always match") 642 643 644 val banked_amo_wmask = UIntToOH(s3_req.word_idx) 645 val update_data = s3_req_miss_dup(2) || s3_store_hit_dup(0) || s3_can_do_amo_write 646 647 // generate write data 648 // AMO hits 649 val s3_s_amoalu = RegInit(false.B) 650 val do_amoalu = amo_wait_amoalu && s3_valid_dup(3) && !s3_s_amoalu 651 val amoalu = Module(new AMOALU(wordBits)) 652 amoalu.io.mask := s3_req.amo_mask 653 amoalu.io.cmd := s3_req.cmd 654 amoalu.io.lhs := s3_data_word 655 amoalu.io.rhs := s3_req.amo_data 656 657 // merge amo write data 658// val amo_bitmask = FillInterleaved(8, s3_req.amo_mask) 659 val s3_amo_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 660 val s3_sc_data_merged = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 661 for (i <- 0 until DCacheBanks) { 662 val old_data = s3_store_data_merged(i) 663 val new_data = amoalu.io.out 664 val wmask = Mux( 665 s3_req_word_idx_dup(i) === i.U, 666 ~0.U(wordBytes.W), 667 0.U(wordBytes.W) 668 ) 669 s3_amo_data_merged(i) := mergePutData(old_data, new_data, wmask) 670 s3_sc_data_merged(i) := mergePutData(old_data, s3_req.amo_data, 671 Mux(s3_req_word_idx_dup(i) === i.U && !s3_sc_fail, s3_req.amo_mask, 0.U(wordBytes.W)) 672 ) 673 } 674 val s3_amo_data_merged_reg = RegEnable(s3_amo_data_merged, do_amoalu) 675 when(do_amoalu){ 676 s3_s_amoalu := true.B 677 s3_s_amoalu_dup.foreach(_ := true.B) 678 } 679 680 val miss_wb = s3_req_miss_dup(3) && s3_need_replacement && s3_coh_dup(1).state =/= ClientStates.Nothing 681 val miss_wb_dup = s3_req_miss_dup(3) && s3_need_replacement_dup && s3_coh_dup(1).state =/= ClientStates.Nothing 682 val probe_wb = s3_req.probe 683 val replace_wb = s3_req.replace 684 val need_wb = miss_wb_dup || probe_wb || replace_wb 685 686 val (_, miss_shrink_param, _) = s3_coh_dup(2).onCacheControl(M_FLUSH) 687 val writeback_param = Mux(probe_wb, probe_shrink_param, miss_shrink_param) 688 val writeback_data = if (dcacheParameters.alwaysReleaseData) { 689 s3_tag_match && s3_req_probe_dup(6) && s3_req.probe_need_data || 690 s3_coh_dup(3) === ClientStates.Dirty || (miss_wb || replace_wb) && s3_coh_dup(3).state =/= ClientStates.Nothing 691 } else { 692 s3_tag_match && s3_req_probe_dup(6) && s3_req.probe_need_data || s3_coh_dup(3) === ClientStates.Dirty 693 } 694 695 val s3_probe_can_go = s3_req_probe_dup(7) && io.wb.ready && (io.meta_write.ready || !probe_update_meta) 696 val s3_store_can_go = s3_req_source_dup_1 === STORE_SOURCE.U && !s3_req_probe_dup(8) && (io.meta_write.ready || !store_update_meta) && (io.data_write.ready || !update_data) && !s3_req.miss 697 val s3_amo_can_go = s3_amo_hit_dup && (io.meta_write.ready || !amo_update_meta) && (io.data_write.ready || !update_data) && (s3_s_amoalu_dup(0) || !amo_wait_amoalu) 698 val s3_miss_can_go = s3_req_miss_dup(4) && 699 (io.meta_write.ready || !amo_update_meta) && 700 (io.data_write.ready || !update_data) && 701 (s3_s_amoalu_dup(1) || !amo_wait_amoalu) && 702 io.tag_write.ready && 703 io.wb.ready 704 val s3_replace_nothing = s3_req_replace_dup(1) && s3_coh_dup(4).state === ClientStates.Nothing 705 val s3_replace_can_go = s3_req_replace_dup(2) && (s3_replace_nothing || io.wb.ready) 706 val s3_can_go = s3_probe_can_go || s3_store_can_go || s3_amo_can_go || s3_miss_can_go || s3_replace_can_go 707 val s3_update_data_cango = s3_store_can_go || s3_amo_can_go || s3_miss_can_go // used to speed up data_write gen 708 709 // ---------------- duplicate regs for meta_write.valid to solve fanout ---------------- 710 val s3_req_miss_dup_for_meta_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 711 val s3_req_probe_dup_for_meta_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 712 val s3_tag_match_dup_for_meta_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 713 val s3_coh_dup_for_meta_w_valid = RegEnable(s2_coh, s2_fire_to_s3) 714 val s3_req_probe_param_dup_for_meta_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 715 val (_, _, probe_new_coh_dup_for_meta_w_valid) = s3_coh_dup_for_meta_w_valid.onProbe(s3_req_probe_param_dup_for_meta_w_valid) 716 val s3_req_source_dup_for_meta_w_valid = RegEnable(s2_req.source, s2_fire_to_s3) 717 val s3_req_cmd_dup_for_meta_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 718 val s3_req_replace_dup_for_meta_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 719 val s3_hit_coh_dup_for_meta_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 720 val s3_new_hit_coh_dup_for_meta_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 721 722 val miss_update_meta_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid 723 val probe_update_meta_dup_for_meta_w_valid = WireInit(s3_req_probe_dup_for_meta_w_valid && s3_tag_match_dup_for_meta_w_valid && s3_coh_dup_for_meta_w_valid =/= probe_new_coh_dup_for_meta_w_valid) 724 val store_update_meta_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === STORE_SOURCE.U && 725 !s3_req_probe_dup_for_meta_w_valid && 726 s3_hit_coh_dup_for_meta_w_valid =/= s3_new_hit_coh_dup_for_meta_w_valid 727 val amo_update_meta_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && 728 !s3_req_probe_dup_for_meta_w_valid && 729 s3_hit_coh_dup_for_meta_w_valid =/= s3_new_hit_coh_dup_for_meta_w_valid 730 val update_meta_dup_for_meta_w_valid = 731 miss_update_meta_dup_for_meta_w_valid || 732 probe_update_meta_dup_for_meta_w_valid || 733 store_update_meta_dup_for_meta_w_valid || 734 amo_update_meta_dup_for_meta_w_valid || 735 s3_req_replace_dup_for_meta_w_valid 736 737 val s3_valid_dup_for_meta_w_valid = RegInit(false.B) 738 val s3_amo_hit_dup_for_meta_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 739 val s3_s_amoalu_dup_for_meta_w_valid = RegInit(false.B) 740 val amo_wait_amoalu_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && 741 s3_req_cmd_dup_for_meta_w_valid =/= M_XLR && 742 s3_req_cmd_dup_for_meta_w_valid =/= M_XSC 743 val do_amoalu_dup_for_meta_w_valid = amo_wait_amoalu_dup_for_meta_w_valid && s3_valid_dup_for_meta_w_valid && !s3_s_amoalu_dup_for_meta_w_valid 744 745 val s3_store_hit_dup_for_meta_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 746 val s3_req_addr_dup_for_meta_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 747 val s3_can_do_amo_dup_for_meta_w_valid = (s3_req_miss_dup_for_meta_w_valid && !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U) || 748 s3_amo_hit_dup_for_meta_w_valid 749 750 val s3_lr_dup_for_meta_w_valid = !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_meta_w_valid === M_XLR 751 val s3_sc_dup_for_meta_w_valid = !s3_req_probe_dup_for_meta_w_valid && s3_req_source_dup_for_meta_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_meta_w_valid === M_XSC 752 val lrsc_addr_dup_for_meta_w_valid = Reg(UInt()) 753 val lrsc_count_dup_for_meta_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 754 755 when (s3_valid_dup_for_meta_w_valid && (s3_lr_dup_for_meta_w_valid || s3_sc_dup_for_meta_w_valid)) { 756 when (s3_can_do_amo_dup_for_meta_w_valid && s3_lr_dup_for_meta_w_valid) { 757 lrsc_count_dup_for_meta_w_valid := (LRSCCycles - 1).U 758 lrsc_addr_dup_for_meta_w_valid := get_block_addr(s3_req_addr_dup_for_meta_w_valid) 759 }.otherwise { 760 lrsc_count_dup_for_meta_w_valid := 0.U 761 } 762 }.elsewhen (io.invalid_resv_set) { 763 lrsc_count_dup_for_meta_w_valid := 0.U 764 }.elsewhen (lrsc_count_dup_for_meta_w_valid > 0.U) { 765 lrsc_count_dup_for_meta_w_valid := lrsc_count_dup_for_meta_w_valid - 1.U 766 } 767 768 val lrsc_valid_dup_for_meta_w_valid = lrsc_count_dup_for_meta_w_valid > LRSCBackOff.U 769 val s3_lrsc_addr_match_dup_for_meta_w_valid = lrsc_valid_dup_for_meta_w_valid && lrsc_addr_dup_for_meta_w_valid === get_block_addr(s3_req_addr_dup_for_meta_w_valid) 770 val s3_sc_fail_dup_for_meta_w_valid = s3_sc_dup_for_meta_w_valid && !s3_lrsc_addr_match_dup_for_meta_w_valid 771 val s3_can_do_amo_write_dup_for_meta_w_valid = s3_can_do_amo_dup_for_meta_w_valid && isWrite(s3_req_cmd_dup_for_meta_w_valid) && !s3_sc_fail_dup_for_meta_w_valid 772 val update_data_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid || s3_store_hit_dup_for_meta_w_valid || s3_can_do_amo_write_dup_for_meta_w_valid 773 774 val s3_probe_can_go_dup_for_meta_w_valid = s3_req_probe_dup_for_meta_w_valid && 775 io.wb_ready_dup(metaWritePort) && 776 (io.meta_write.ready || !probe_update_meta_dup_for_meta_w_valid) 777 val s3_store_can_go_dup_for_meta_w_valid = s3_req_source_dup_for_meta_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_meta_w_valid && 778 (io.meta_write.ready || !store_update_meta_dup_for_meta_w_valid) && 779 (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) && !s3_req_miss_dup_for_meta_w_valid 780 val s3_amo_can_go_dup_for_meta_w_valid = s3_amo_hit_dup_for_meta_w_valid && 781 (io.meta_write.ready || !amo_update_meta_dup_for_meta_w_valid) && 782 (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) && 783 (s3_s_amoalu_dup_for_meta_w_valid || !amo_wait_amoalu_dup_for_meta_w_valid) 784 val s3_miss_can_go_dup_for_meta_w_valid = s3_req_miss_dup_for_meta_w_valid && 785 (io.meta_write.ready || !amo_update_meta_dup_for_meta_w_valid) && 786 (io.data_write_ready_dup(metaWritePort) || !update_data_dup_for_meta_w_valid) && 787 (s3_s_amoalu_dup_for_meta_w_valid || !amo_wait_amoalu_dup_for_meta_w_valid) && 788 io.tag_write_ready_dup(metaWritePort) && 789 io.wb_ready_dup(metaWritePort) 790 val s3_replace_can_go_dup_for_meta_w_valid = s3_req_replace_dup_for_meta_w_valid && 791 (s3_coh_dup_for_meta_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(metaWritePort)) && 792 (io.meta_write.ready || !s3_req_replace_dup_for_meta_w_valid) 793 794 val s3_can_go_dup_for_meta_w_valid = s3_probe_can_go_dup_for_meta_w_valid || 795 s3_store_can_go_dup_for_meta_w_valid || 796 s3_amo_can_go_dup_for_meta_w_valid || 797 s3_miss_can_go_dup_for_meta_w_valid || 798 s3_replace_can_go_dup_for_meta_w_valid 799 800 val s3_fire_dup_for_meta_w_valid = s3_valid_dup_for_meta_w_valid && s3_can_go_dup_for_meta_w_valid 801 when (do_amoalu_dup_for_meta_w_valid) { s3_s_amoalu_dup_for_meta_w_valid := true.B } 802 when (s3_fire_dup_for_meta_w_valid) { s3_s_amoalu_dup_for_meta_w_valid := false.B } 803 804 val s3_probe_new_coh = probe_new_coh_dup_for_meta_w_valid 805 806 val new_coh = Mux( 807 miss_update_meta_dup_for_meta_w_valid, 808 miss_new_coh, 809 Mux( 810 probe_update_meta, 811 s3_probe_new_coh, 812 Mux( 813 store_update_meta_dup_for_meta_w_valid || amo_update_meta_dup_for_meta_w_valid, 814 s3_new_hit_coh_dup_for_meta_w_valid, 815 ClientMetadata.onReset 816 ) 817 ) 818 ) 819 820 when (s2_fire_to_s3) { s3_valid_dup_for_meta_w_valid := true.B } 821 .elsewhen (s3_fire_dup_for_meta_w_valid) { s3_valid_dup_for_meta_w_valid := false.B } 822 // ------------------------------------------------------------------------------------- 823 824 // ---------------- duplicate regs for err_write.valid to solve fanout ----------------- 825 val s3_req_miss_dup_for_err_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 826 val s3_req_probe_dup_for_err_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 827 val s3_tag_match_dup_for_err_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 828 val s3_coh_dup_for_err_w_valid = RegEnable(s2_coh, s2_fire_to_s3) 829 val s3_req_probe_param_dup_for_err_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 830 val (_, _, probe_new_coh_dup_for_err_w_valid) = s3_coh_dup_for_err_w_valid.onProbe(s3_req_probe_param_dup_for_err_w_valid) 831 val s3_req_source_dup_for_err_w_valid = RegEnable(s2_req.source, s2_fire_to_s3) 832 val s3_req_cmd_dup_for_err_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 833 val s3_req_replace_dup_for_err_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 834 val s3_hit_coh_dup_for_err_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 835 val s3_new_hit_coh_dup_for_err_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 836 837 val miss_update_meta_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid 838 val probe_update_meta_dup_for_err_w_valid = s3_req_probe_dup_for_err_w_valid && s3_tag_match_dup_for_err_w_valid && s3_coh_dup_for_err_w_valid =/= probe_new_coh_dup_for_err_w_valid 839 val store_update_meta_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === STORE_SOURCE.U && 840 !s3_req_probe_dup_for_err_w_valid && 841 s3_hit_coh_dup_for_err_w_valid =/= s3_new_hit_coh_dup_for_err_w_valid 842 val amo_update_meta_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && 843 !s3_req_probe_dup_for_err_w_valid && 844 s3_hit_coh_dup_for_err_w_valid =/= s3_new_hit_coh_dup_for_err_w_valid 845 val update_meta_dup_for_err_w_valid = ( 846 miss_update_meta_dup_for_err_w_valid || 847 probe_update_meta_dup_for_err_w_valid || 848 store_update_meta_dup_for_err_w_valid || 849 amo_update_meta_dup_for_err_w_valid 850 ) && !s3_req_replace_dup_for_err_w_valid 851 852 val s3_valid_dup_for_err_w_valid = RegInit(false.B) 853 val s3_amo_hit_dup_for_err_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 854 val s3_s_amoalu_dup_for_err_w_valid = RegInit(false.B) 855 val amo_wait_amoalu_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && 856 s3_req_cmd_dup_for_err_w_valid =/= M_XLR && 857 s3_req_cmd_dup_for_err_w_valid =/= M_XSC 858 val do_amoalu_dup_for_err_w_valid = amo_wait_amoalu_dup_for_err_w_valid && s3_valid_dup_for_err_w_valid && !s3_s_amoalu_dup_for_err_w_valid 859 860 val s3_store_hit_dup_for_err_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 861 val s3_req_addr_dup_for_err_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 862 val s3_can_do_amo_dup_for_err_w_valid = (s3_req_miss_dup_for_err_w_valid && !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U) || 863 s3_amo_hit_dup_for_err_w_valid 864 865 val s3_lr_dup_for_err_w_valid = !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_err_w_valid === M_XLR 866 val s3_sc_dup_for_err_w_valid = !s3_req_probe_dup_for_err_w_valid && s3_req_source_dup_for_err_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_err_w_valid === M_XSC 867 val lrsc_addr_dup_for_err_w_valid = Reg(UInt()) 868 val lrsc_count_dup_for_err_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 869 870 when (s3_valid_dup_for_err_w_valid && (s3_lr_dup_for_err_w_valid || s3_sc_dup_for_err_w_valid)) { 871 when (s3_can_do_amo_dup_for_err_w_valid && s3_lr_dup_for_err_w_valid) { 872 lrsc_count_dup_for_err_w_valid := (LRSCCycles - 1).U 873 lrsc_addr_dup_for_err_w_valid := get_block_addr(s3_req_addr_dup_for_err_w_valid) 874 }.otherwise { 875 lrsc_count_dup_for_err_w_valid := 0.U 876 } 877 }.elsewhen (io.invalid_resv_set) { 878 lrsc_count_dup_for_err_w_valid := 0.U 879 }.elsewhen (lrsc_count_dup_for_err_w_valid > 0.U) { 880 lrsc_count_dup_for_err_w_valid := lrsc_count_dup_for_err_w_valid - 1.U 881 } 882 883 val lrsc_valid_dup_for_err_w_valid = lrsc_count_dup_for_err_w_valid > LRSCBackOff.U 884 val s3_lrsc_addr_match_dup_for_err_w_valid = lrsc_valid_dup_for_err_w_valid && lrsc_addr_dup_for_err_w_valid === get_block_addr(s3_req_addr_dup_for_err_w_valid) 885 val s3_sc_fail_dup_for_err_w_valid = s3_sc_dup_for_err_w_valid && !s3_lrsc_addr_match_dup_for_err_w_valid 886 val s3_can_do_amo_write_dup_for_err_w_valid = s3_can_do_amo_dup_for_err_w_valid && isWrite(s3_req_cmd_dup_for_err_w_valid) && !s3_sc_fail_dup_for_err_w_valid 887 val update_data_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid || s3_store_hit_dup_for_err_w_valid || s3_can_do_amo_write_dup_for_err_w_valid 888 889 val s3_probe_can_go_dup_for_err_w_valid = s3_req_probe_dup_for_err_w_valid && 890 io.wb_ready_dup(errWritePort) && 891 (io.meta_write.ready || !probe_update_meta_dup_for_err_w_valid) 892 val s3_store_can_go_dup_for_err_w_valid = s3_req_source_dup_for_err_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_err_w_valid && 893 (io.meta_write.ready || !store_update_meta_dup_for_err_w_valid) && 894 (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) && !s3_req_miss_dup_for_err_w_valid 895 val s3_amo_can_go_dup_for_err_w_valid = s3_amo_hit_dup_for_err_w_valid && 896 (io.meta_write.ready || !amo_update_meta_dup_for_err_w_valid) && 897 (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) && 898 (s3_s_amoalu_dup_for_err_w_valid || !amo_wait_amoalu_dup_for_err_w_valid) 899 val s3_miss_can_go_dup_for_err_w_valid = s3_req_miss_dup_for_err_w_valid && 900 (io.meta_write.ready || !amo_update_meta_dup_for_err_w_valid) && 901 (io.data_write_ready_dup(errWritePort) || !update_data_dup_for_err_w_valid) && 902 (s3_s_amoalu_dup_for_err_w_valid || !amo_wait_amoalu_dup_for_err_w_valid) && 903 io.tag_write_ready_dup(errWritePort) && 904 io.wb_ready_dup(errWritePort) 905 val s3_replace_can_go_dup_for_err_w_valid = s3_req_replace_dup_for_err_w_valid && 906 (s3_coh_dup_for_err_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(errWritePort)) 907 val s3_can_go_dup_for_err_w_valid = s3_probe_can_go_dup_for_err_w_valid || 908 s3_store_can_go_dup_for_err_w_valid || 909 s3_amo_can_go_dup_for_err_w_valid || 910 s3_miss_can_go_dup_for_err_w_valid || 911 s3_replace_can_go_dup_for_err_w_valid 912 913 val s3_fire_dup_for_err_w_valid = s3_valid_dup_for_err_w_valid && s3_can_go_dup_for_err_w_valid 914 when (do_amoalu_dup_for_err_w_valid) { s3_s_amoalu_dup_for_err_w_valid := true.B } 915 when (s3_fire_dup_for_err_w_valid) { s3_s_amoalu_dup_for_err_w_valid := false.B } 916 917 when (s2_fire_to_s3) { s3_valid_dup_for_err_w_valid := true.B } 918 .elsewhen (s3_fire_dup_for_err_w_valid) { s3_valid_dup_for_err_w_valid := false.B } 919 // ------------------------------------------------------------------------------------- 920 // ---------------- duplicate regs for tag_write.valid to solve fanout ----------------- 921 val s3_req_miss_dup_for_tag_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 922 val s3_req_probe_dup_for_tag_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 923 val s3_tag_match_dup_for_tag_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 924 val s3_coh_dup_for_tag_w_valid = RegEnable(s2_coh, s2_fire_to_s3) 925 val s3_req_probe_param_dup_for_tag_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 926 val (_, _, probe_new_coh_dup_for_tag_w_valid) = s3_coh_dup_for_tag_w_valid.onProbe(s3_req_probe_param_dup_for_tag_w_valid) 927 val s3_req_source_dup_for_tag_w_valid = RegEnable(s2_req.source, s2_fire_to_s3) 928 val s3_req_cmd_dup_for_tag_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 929 val s3_req_replace_dup_for_tag_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 930 val s3_hit_coh_dup_for_tag_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 931 val s3_new_hit_coh_dup_for_tag_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 932 933 val miss_update_meta_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid 934 val probe_update_meta_dup_for_tag_w_valid = s3_req_probe_dup_for_tag_w_valid && s3_tag_match_dup_for_tag_w_valid && s3_coh_dup_for_tag_w_valid =/= probe_new_coh_dup_for_tag_w_valid 935 val store_update_meta_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === STORE_SOURCE.U && 936 !s3_req_probe_dup_for_tag_w_valid && 937 s3_hit_coh_dup_for_tag_w_valid =/= s3_new_hit_coh_dup_for_tag_w_valid 938 val amo_update_meta_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && 939 !s3_req_probe_dup_for_tag_w_valid && 940 s3_hit_coh_dup_for_tag_w_valid =/= s3_new_hit_coh_dup_for_tag_w_valid 941 val update_meta_dup_for_tag_w_valid = ( 942 miss_update_meta_dup_for_tag_w_valid || 943 probe_update_meta_dup_for_tag_w_valid || 944 store_update_meta_dup_for_tag_w_valid || 945 amo_update_meta_dup_for_tag_w_valid 946 ) && !s3_req_replace_dup_for_tag_w_valid 947 948 val s3_valid_dup_for_tag_w_valid = RegInit(false.B) 949 val s3_amo_hit_dup_for_tag_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 950 val s3_s_amoalu_dup_for_tag_w_valid = RegInit(false.B) 951 val amo_wait_amoalu_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && 952 s3_req_cmd_dup_for_tag_w_valid =/= M_XLR && 953 s3_req_cmd_dup_for_tag_w_valid =/= M_XSC 954 val do_amoalu_dup_for_tag_w_valid = amo_wait_amoalu_dup_for_tag_w_valid && s3_valid_dup_for_tag_w_valid && !s3_s_amoalu_dup_for_tag_w_valid 955 956 val s3_store_hit_dup_for_tag_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 957 val s3_req_addr_dup_for_tag_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 958 val s3_can_do_amo_dup_for_tag_w_valid = (s3_req_miss_dup_for_tag_w_valid && !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U) || 959 s3_amo_hit_dup_for_tag_w_valid 960 961 val s3_lr_dup_for_tag_w_valid = !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_tag_w_valid === M_XLR 962 val s3_sc_dup_for_tag_w_valid = !s3_req_probe_dup_for_tag_w_valid && s3_req_source_dup_for_tag_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_tag_w_valid === M_XSC 963 val lrsc_addr_dup_for_tag_w_valid = Reg(UInt()) 964 val lrsc_count_dup_for_tag_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 965 966 when (s3_valid_dup_for_tag_w_valid && (s3_lr_dup_for_tag_w_valid || s3_sc_dup_for_tag_w_valid)) { 967 when (s3_can_do_amo_dup_for_tag_w_valid && s3_lr_dup_for_tag_w_valid) { 968 lrsc_count_dup_for_tag_w_valid := (LRSCCycles - 1).U 969 lrsc_addr_dup_for_tag_w_valid := get_block_addr(s3_req_addr_dup_for_tag_w_valid) 970 }.otherwise { 971 lrsc_count_dup_for_tag_w_valid := 0.U 972 } 973 }.elsewhen (io.invalid_resv_set) { 974 lrsc_count_dup_for_tag_w_valid := 0.U 975 }.elsewhen (lrsc_count_dup_for_tag_w_valid > 0.U) { 976 lrsc_count_dup_for_tag_w_valid := lrsc_count_dup_for_tag_w_valid - 1.U 977 } 978 979 val lrsc_valid_dup_for_tag_w_valid = lrsc_count_dup_for_tag_w_valid > LRSCBackOff.U 980 val s3_lrsc_addr_match_dup_for_tag_w_valid = lrsc_valid_dup_for_tag_w_valid && lrsc_addr_dup_for_tag_w_valid === get_block_addr(s3_req_addr_dup_for_tag_w_valid) 981 val s3_sc_fail_dup_for_tag_w_valid = s3_sc_dup_for_tag_w_valid && !s3_lrsc_addr_match_dup_for_tag_w_valid 982 val s3_can_do_amo_write_dup_for_tag_w_valid = s3_can_do_amo_dup_for_tag_w_valid && isWrite(s3_req_cmd_dup_for_tag_w_valid) && !s3_sc_fail_dup_for_tag_w_valid 983 val update_data_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid || s3_store_hit_dup_for_tag_w_valid || s3_can_do_amo_write_dup_for_tag_w_valid 984 985 val s3_probe_can_go_dup_for_tag_w_valid = s3_req_probe_dup_for_tag_w_valid && 986 io.wb_ready_dup(tagWritePort) && 987 (io.meta_write.ready || !probe_update_meta_dup_for_tag_w_valid) 988 val s3_store_can_go_dup_for_tag_w_valid = s3_req_source_dup_for_tag_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_tag_w_valid && 989 (io.meta_write.ready || !store_update_meta_dup_for_tag_w_valid) && 990 (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) && !s3_req_miss_dup_for_tag_w_valid 991 val s3_amo_can_go_dup_for_tag_w_valid = s3_amo_hit_dup_for_tag_w_valid && 992 (io.meta_write.ready || !amo_update_meta_dup_for_tag_w_valid) && 993 (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) && 994 (s3_s_amoalu_dup_for_tag_w_valid || !amo_wait_amoalu_dup_for_tag_w_valid) 995 val s3_miss_can_go_dup_for_tag_w_valid = s3_req_miss_dup_for_tag_w_valid && 996 (io.meta_write.ready || !amo_update_meta_dup_for_tag_w_valid) && 997 (io.data_write_ready_dup(tagWritePort) || !update_data_dup_for_tag_w_valid) && 998 (s3_s_amoalu_dup_for_tag_w_valid || !amo_wait_amoalu_dup_for_tag_w_valid) && 999 io.tag_write_ready_dup(tagWritePort) && 1000 io.wb_ready_dup(tagWritePort) 1001 val s3_replace_can_go_dup_for_tag_w_valid = s3_req_replace_dup_for_tag_w_valid && 1002 (s3_coh_dup_for_tag_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(tagWritePort)) 1003 val s3_can_go_dup_for_tag_w_valid = s3_probe_can_go_dup_for_tag_w_valid || 1004 s3_store_can_go_dup_for_tag_w_valid || 1005 s3_amo_can_go_dup_for_tag_w_valid || 1006 s3_miss_can_go_dup_for_tag_w_valid || 1007 s3_replace_can_go_dup_for_tag_w_valid 1008 1009 val s3_fire_dup_for_tag_w_valid = s3_valid_dup_for_tag_w_valid && s3_can_go_dup_for_tag_w_valid 1010 when (do_amoalu_dup_for_tag_w_valid) { s3_s_amoalu_dup_for_tag_w_valid := true.B } 1011 when (s3_fire_dup_for_tag_w_valid) { s3_s_amoalu_dup_for_tag_w_valid := false.B } 1012 1013 when (s2_fire_to_s3) { s3_valid_dup_for_tag_w_valid := true.B } 1014 .elsewhen (s3_fire_dup_for_tag_w_valid) { s3_valid_dup_for_tag_w_valid := false.B } 1015 // ------------------------------------------------------------------------------------- 1016 // ---------------- duplicate regs for data_write.valid to solve fanout ---------------- 1017 val s3_req_miss_dup_for_data_w_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 1018 val s3_req_probe_dup_for_data_w_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 1019 val s3_tag_match_dup_for_data_w_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 1020 val s3_coh_dup_for_data_w_valid = RegEnable(s2_coh, s2_fire_to_s3) 1021 val s3_req_probe_param_dup_for_data_w_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 1022 val (_, _, probe_new_coh_dup_for_data_w_valid) = s3_coh_dup_for_data_w_valid.onProbe(s3_req_probe_param_dup_for_data_w_valid) 1023 val s3_req_source_dup_for_data_w_valid = RegEnable(s2_req.source, s2_fire_to_s3) 1024 val s3_req_cmd_dup_for_data_w_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 1025 val s3_req_replace_dup_for_data_w_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 1026 val s3_hit_coh_dup_for_data_w_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 1027 val s3_new_hit_coh_dup_for_data_w_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 1028 1029 val miss_update_meta_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid 1030 val probe_update_meta_dup_for_data_w_valid = s3_req_probe_dup_for_data_w_valid && s3_tag_match_dup_for_data_w_valid && s3_coh_dup_for_data_w_valid =/= probe_new_coh_dup_for_data_w_valid 1031 val store_update_meta_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === STORE_SOURCE.U && 1032 !s3_req_probe_dup_for_data_w_valid && 1033 s3_hit_coh_dup_for_data_w_valid =/= s3_new_hit_coh_dup_for_data_w_valid 1034 val amo_update_meta_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && 1035 !s3_req_probe_dup_for_data_w_valid && 1036 s3_hit_coh_dup_for_data_w_valid =/= s3_new_hit_coh_dup_for_data_w_valid 1037 val update_meta_dup_for_data_w_valid = ( 1038 miss_update_meta_dup_for_data_w_valid || 1039 probe_update_meta_dup_for_data_w_valid || 1040 store_update_meta_dup_for_data_w_valid || 1041 amo_update_meta_dup_for_data_w_valid 1042 ) && !s3_req_replace_dup_for_data_w_valid 1043 1044 val s3_valid_dup_for_data_w_valid = RegInit(false.B) 1045 val s3_amo_hit_dup_for_data_w_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 1046 val s3_s_amoalu_dup_for_data_w_valid = RegInit(false.B) 1047 val amo_wait_amoalu_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && 1048 s3_req_cmd_dup_for_data_w_valid =/= M_XLR && 1049 s3_req_cmd_dup_for_data_w_valid =/= M_XSC 1050 val do_amoalu_dup_for_data_w_valid = amo_wait_amoalu_dup_for_data_w_valid && s3_valid_dup_for_data_w_valid && !s3_s_amoalu_dup_for_data_w_valid 1051 1052 val s3_store_hit_dup_for_data_w_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 1053 val s3_req_addr_dup_for_data_w_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 1054 val s3_can_do_amo_dup_for_data_w_valid = (s3_req_miss_dup_for_data_w_valid && !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U) || 1055 s3_amo_hit_dup_for_data_w_valid 1056 1057 val s3_lr_dup_for_data_w_valid = !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_valid === M_XLR 1058 val s3_sc_dup_for_data_w_valid = !s3_req_probe_dup_for_data_w_valid && s3_req_source_dup_for_data_w_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_valid === M_XSC 1059 val lrsc_addr_dup_for_data_w_valid = Reg(UInt()) 1060 val lrsc_count_dup_for_data_w_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 1061 1062 when (s3_valid_dup_for_data_w_valid && (s3_lr_dup_for_data_w_valid || s3_sc_dup_for_data_w_valid)) { 1063 when (s3_can_do_amo_dup_for_data_w_valid && s3_lr_dup_for_data_w_valid) { 1064 lrsc_count_dup_for_data_w_valid := (LRSCCycles - 1).U 1065 lrsc_addr_dup_for_data_w_valid := get_block_addr(s3_req_addr_dup_for_data_w_valid) 1066 }.otherwise { 1067 lrsc_count_dup_for_data_w_valid := 0.U 1068 } 1069 }.elsewhen (io.invalid_resv_set) { 1070 lrsc_count_dup_for_data_w_valid := 0.U 1071 }.elsewhen (lrsc_count_dup_for_data_w_valid > 0.U) { 1072 lrsc_count_dup_for_data_w_valid := lrsc_count_dup_for_data_w_valid - 1.U 1073 } 1074 1075 val lrsc_valid_dup_for_data_w_valid = lrsc_count_dup_for_data_w_valid > LRSCBackOff.U 1076 val s3_lrsc_addr_match_dup_for_data_w_valid = lrsc_valid_dup_for_data_w_valid && lrsc_addr_dup_for_data_w_valid === get_block_addr(s3_req_addr_dup_for_data_w_valid) 1077 val s3_sc_fail_dup_for_data_w_valid = s3_sc_dup_for_data_w_valid && !s3_lrsc_addr_match_dup_for_data_w_valid 1078 val s3_can_do_amo_write_dup_for_data_w_valid = s3_can_do_amo_dup_for_data_w_valid && isWrite(s3_req_cmd_dup_for_data_w_valid) && !s3_sc_fail_dup_for_data_w_valid 1079 val update_data_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid || s3_store_hit_dup_for_data_w_valid || s3_can_do_amo_write_dup_for_data_w_valid 1080 1081 val s3_probe_can_go_dup_for_data_w_valid = s3_req_probe_dup_for_data_w_valid && 1082 io.wb_ready_dup(dataWritePort) && 1083 (io.meta_write.ready || !probe_update_meta_dup_for_data_w_valid) 1084 val s3_store_can_go_dup_for_data_w_valid = s3_req_source_dup_for_data_w_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_data_w_valid && 1085 (io.meta_write.ready || !store_update_meta_dup_for_data_w_valid) && 1086 (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) && !s3_req_miss_dup_for_data_w_valid 1087 val s3_amo_can_go_dup_for_data_w_valid = s3_amo_hit_dup_for_data_w_valid && 1088 (io.meta_write.ready || !amo_update_meta_dup_for_data_w_valid) && 1089 (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) && 1090 (s3_s_amoalu_dup_for_data_w_valid || !amo_wait_amoalu_dup_for_data_w_valid) 1091 val s3_miss_can_go_dup_for_data_w_valid = s3_req_miss_dup_for_data_w_valid && 1092 (io.meta_write.ready || !amo_update_meta_dup_for_data_w_valid) && 1093 (io.data_write_ready_dup(dataWritePort) || !update_data_dup_for_data_w_valid) && 1094 (s3_s_amoalu_dup_for_data_w_valid || !amo_wait_amoalu_dup_for_data_w_valid) && 1095 io.tag_write_ready_dup(dataWritePort) && 1096 io.wb_ready_dup(dataWritePort) 1097 val s3_replace_can_go_dup_for_data_w_valid = s3_req_replace_dup_for_data_w_valid && 1098 (s3_coh_dup_for_data_w_valid.state === ClientStates.Nothing || io.wb_ready_dup(dataWritePort)) 1099 val s3_can_go_dup_for_data_w_valid = s3_probe_can_go_dup_for_data_w_valid || 1100 s3_store_can_go_dup_for_data_w_valid || 1101 s3_amo_can_go_dup_for_data_w_valid || 1102 s3_miss_can_go_dup_for_data_w_valid || 1103 s3_replace_can_go_dup_for_data_w_valid 1104 val s3_update_data_cango_dup_for_data_w_valid = s3_store_can_go_dup_for_data_w_valid || s3_amo_can_go_dup_for_data_w_valid || s3_miss_can_go_dup_for_data_w_valid 1105 1106 val s3_fire_dup_for_data_w_valid = s3_valid_dup_for_data_w_valid && s3_can_go_dup_for_data_w_valid 1107 when (do_amoalu_dup_for_data_w_valid) { s3_s_amoalu_dup_for_data_w_valid := true.B } 1108 when (s3_fire_dup_for_data_w_valid) { s3_s_amoalu_dup_for_data_w_valid := false.B } 1109 1110 val s3_banked_store_wmask_dup_for_data_w_valid = RegEnable(s2_banked_store_wmask, s2_fire_to_s3) 1111 val s3_req_word_idx_dup_for_data_w_valid = RegEnable(s2_req.word_idx, s2_fire_to_s3) 1112 val banked_wmask = Mux( 1113 s3_req_miss_dup_for_data_w_valid, 1114 banked_full_wmask, 1115 Mux( 1116 s3_store_hit_dup_for_data_w_valid, 1117 s3_banked_store_wmask_dup_for_data_w_valid, 1118 Mux( 1119 s3_can_do_amo_write_dup_for_data_w_valid, 1120 UIntToOH(s3_req_word_idx_dup_for_data_w_valid), 1121 banked_none_wmask 1122 ) 1123 ) 1124 ) 1125 assert(!(s3_valid && banked_wmask.orR && !update_data)) 1126 1127 val s3_sc_data_merged_dup_for_data_w_valid = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 1128 val s3_req_amo_data_dup_for_data_w_valid = RegEnable(s2_req.amo_data, s2_fire_to_s3) 1129 val s3_req_amo_mask_dup_for_data_w_valid = RegEnable(s2_req.amo_mask, s2_fire_to_s3) 1130 for (i <- 0 until DCacheBanks) { 1131 val old_data = s3_store_data_merged(i) 1132 s3_sc_data_merged_dup_for_data_w_valid(i) := mergePutData(old_data, s3_req_amo_data_dup_for_data_w_valid, 1133 Mux( 1134 s3_req_word_idx_dup_for_data_w_valid === i.U && !s3_sc_fail_dup_for_data_w_valid, 1135 s3_req_amo_mask_dup_for_data_w_valid, 1136 0.U(wordBytes.W) 1137 ) 1138 ) 1139 } 1140 1141 when (s2_fire_to_s3) { s3_valid_dup_for_data_w_valid := true.B } 1142 .elsewhen (s3_fire_dup_for_data_w_valid) { s3_valid_dup_for_data_w_valid := false.B } 1143 1144 val s3_valid_dup_for_data_w_bank = RegInit(VecInit(Seq.fill(DCacheBanks)(false.B))) // TODO 1145 val data_write_ready_dup_for_data_w_bank = io.data_write_ready_dup.drop(dataWritePort).take(DCacheBanks) 1146 val tag_write_ready_dup_for_data_w_bank = io.tag_write_ready_dup.drop(dataWritePort).take(DCacheBanks) 1147 val wb_ready_dup_for_data_w_bank = io.wb_ready_dup.drop(dataWritePort).take(DCacheBanks) 1148 for (i <- 0 until DCacheBanks) { 1149 val s3_req_miss_dup_for_data_w_bank = RegEnable(s2_req.miss, s2_fire_to_s3) 1150 val s3_req_probe_dup_for_data_w_bank = RegEnable(s2_req.probe, s2_fire_to_s3) 1151 val s3_tag_match_dup_for_data_w_bank = RegEnable(s2_tag_match, s2_fire_to_s3) 1152 val s3_coh_dup_for_data_w_bank = RegEnable(s2_coh, s2_fire_to_s3) 1153 val s3_req_probe_param_dup_for_data_w_bank = RegEnable(s2_req.probe_param, s2_fire_to_s3) 1154 val (_, _, probe_new_coh_dup_for_data_w_bank) = s3_coh_dup_for_data_w_bank.onProbe(s3_req_probe_param_dup_for_data_w_bank) 1155 val s3_req_source_dup_for_data_w_bank = RegEnable(s2_req.source, s2_fire_to_s3) 1156 val s3_req_cmd_dup_for_data_w_bank = RegEnable(s2_req.cmd, s2_fire_to_s3) 1157 val s3_req_replace_dup_for_data_w_bank = RegEnable(s2_req.replace, s2_fire_to_s3) 1158 val s3_hit_coh_dup_for_data_w_bank = RegEnable(s2_hit_coh, s2_fire_to_s3) 1159 val s3_new_hit_coh_dup_for_data_w_bank = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 1160 1161 val miss_update_meta_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank 1162 val probe_update_meta_dup_for_data_w_bank = s3_req_probe_dup_for_data_w_bank && s3_tag_match_dup_for_data_w_bank && s3_coh_dup_for_data_w_bank =/= probe_new_coh_dup_for_data_w_bank 1163 val store_update_meta_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === STORE_SOURCE.U && 1164 !s3_req_probe_dup_for_data_w_bank && 1165 s3_hit_coh_dup_for_data_w_bank =/= s3_new_hit_coh_dup_for_data_w_bank 1166 val amo_update_meta_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && 1167 !s3_req_probe_dup_for_data_w_bank && 1168 s3_hit_coh_dup_for_data_w_bank =/= s3_new_hit_coh_dup_for_data_w_bank 1169 val update_meta_dup_for_data_w_bank = ( 1170 miss_update_meta_dup_for_data_w_bank || 1171 probe_update_meta_dup_for_data_w_bank || 1172 store_update_meta_dup_for_data_w_bank || 1173 amo_update_meta_dup_for_data_w_bank 1174 ) && !s3_req_replace_dup_for_data_w_bank 1175 1176 val s3_amo_hit_dup_for_data_w_bank = RegEnable(s2_amo_hit, s2_fire_to_s3) 1177 val s3_s_amoalu_dup_for_data_w_bank = RegInit(false.B) 1178 val amo_wait_amoalu_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && 1179 s3_req_cmd_dup_for_data_w_bank =/= M_XLR && 1180 s3_req_cmd_dup_for_data_w_bank =/= M_XSC 1181 val do_amoalu_dup_for_data_w_bank = amo_wait_amoalu_dup_for_data_w_bank && s3_valid_dup_for_data_w_bank(i) && !s3_s_amoalu_dup_for_data_w_bank 1182 1183 val s3_store_hit_dup_for_data_w_bank = RegEnable(s2_store_hit, s2_fire_to_s3) 1184 val s3_req_addr_dup_for_data_w_bank = RegEnable(s2_req.addr, s2_fire_to_s3) 1185 val s3_can_do_amo_dup_for_data_w_bank = (s3_req_miss_dup_for_data_w_bank && !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U) || 1186 s3_amo_hit_dup_for_data_w_bank 1187 1188 val s3_lr_dup_for_data_w_bank = !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_bank === M_XLR 1189 val s3_sc_dup_for_data_w_bank = !s3_req_probe_dup_for_data_w_bank && s3_req_source_dup_for_data_w_bank === AMO_SOURCE.U && s3_req_cmd_dup_for_data_w_bank === M_XSC 1190 val lrsc_addr_dup_for_data_w_bank = Reg(UInt()) 1191 val lrsc_count_dup_for_data_w_bank = RegInit(0.U(log2Ceil(LRSCCycles).W)) 1192 1193 when (s3_valid_dup_for_data_w_bank(i) && (s3_lr_dup_for_data_w_bank || s3_sc_dup_for_data_w_bank)) { 1194 when (s3_can_do_amo_dup_for_data_w_bank && s3_lr_dup_for_data_w_bank) { 1195 lrsc_count_dup_for_data_w_bank := (LRSCCycles - 1).U 1196 lrsc_addr_dup_for_data_w_bank := get_block_addr(s3_req_addr_dup_for_data_w_bank) 1197 }.otherwise { 1198 lrsc_count_dup_for_data_w_bank := 0.U 1199 } 1200 }.elsewhen (io.invalid_resv_set) { 1201 lrsc_count_dup_for_data_w_bank := 0.U 1202 }.elsewhen (lrsc_count_dup_for_data_w_bank > 0.U) { 1203 lrsc_count_dup_for_data_w_bank := lrsc_count_dup_for_data_w_bank - 1.U 1204 } 1205 1206 val lrsc_valid_dup_for_data_w_bank = lrsc_count_dup_for_data_w_bank > LRSCBackOff.U 1207 val s3_lrsc_addr_match_dup_for_data_w_bank = lrsc_valid_dup_for_data_w_bank && lrsc_addr_dup_for_data_w_bank === get_block_addr(s3_req_addr_dup_for_data_w_bank) 1208 val s3_sc_fail_dup_for_data_w_bank = s3_sc_dup_for_data_w_bank && !s3_lrsc_addr_match_dup_for_data_w_bank 1209 val s3_can_do_amo_write_dup_for_data_w_bank = s3_can_do_amo_dup_for_data_w_bank && isWrite(s3_req_cmd_dup_for_data_w_bank) && !s3_sc_fail_dup_for_data_w_bank 1210 val update_data_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank || s3_store_hit_dup_for_data_w_bank || s3_can_do_amo_write_dup_for_data_w_bank 1211 1212 val s3_probe_can_go_dup_for_data_w_bank = s3_req_probe_dup_for_data_w_bank && 1213 wb_ready_dup_for_data_w_bank(i) && 1214 (io.meta_write.ready || !probe_update_meta_dup_for_data_w_bank) 1215 val s3_store_can_go_dup_for_data_w_bank = s3_req_source_dup_for_data_w_bank === STORE_SOURCE.U && !s3_req_probe_dup_for_data_w_bank && 1216 (io.meta_write.ready || !store_update_meta_dup_for_data_w_bank) && 1217 (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) && !s3_req_miss_dup_for_data_w_bank 1218 val s3_amo_can_go_dup_for_data_w_bank = s3_amo_hit_dup_for_data_w_bank && 1219 (io.meta_write.ready || !amo_update_meta_dup_for_data_w_bank) && 1220 (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) && 1221 (s3_s_amoalu_dup_for_data_w_bank || !amo_wait_amoalu_dup_for_data_w_bank) 1222 val s3_miss_can_go_dup_for_data_w_bank = s3_req_miss_dup_for_data_w_bank && 1223 (io.meta_write.ready || !amo_update_meta_dup_for_data_w_bank) && 1224 (data_write_ready_dup_for_data_w_bank(i) || !update_data_dup_for_data_w_bank) && 1225 (s3_s_amoalu_dup_for_data_w_bank || !amo_wait_amoalu_dup_for_data_w_bank) && 1226 tag_write_ready_dup_for_data_w_bank(i) && 1227 wb_ready_dup_for_data_w_bank(i) 1228 wb_ready_dup_for_data_w_bank(i) 1229 val s3_replace_can_go_dup_for_data_w_bank = s3_req_replace_dup_for_data_w_bank && 1230 (s3_coh_dup_for_data_w_bank.state === ClientStates.Nothing || wb_ready_dup_for_data_w_bank(i)) 1231 val s3_can_go_dup_for_data_w_bank = s3_probe_can_go_dup_for_data_w_bank || 1232 s3_store_can_go_dup_for_data_w_bank || 1233 s3_amo_can_go_dup_for_data_w_bank || 1234 s3_miss_can_go_dup_for_data_w_bank || 1235 s3_replace_can_go_dup_for_data_w_bank 1236 val s3_update_data_cango_dup_for_data_w_bank = s3_store_can_go_dup_for_data_w_bank || s3_amo_can_go_dup_for_data_w_bank || s3_miss_can_go_dup_for_data_w_bank 1237 1238 val s3_fire_dup_for_data_w_bank = s3_valid_dup_for_data_w_bank(i) && s3_can_go_dup_for_data_w_bank 1239 1240 when (do_amoalu_dup_for_data_w_bank) { s3_s_amoalu_dup_for_data_w_bank := true.B } 1241 when (s3_fire_dup_for_data_w_bank) { s3_s_amoalu_dup_for_data_w_bank := false.B } 1242 1243 when (s2_fire_to_s3) { s3_valid_dup_for_data_w_bank(i) := true.B } 1244 .elsewhen (s3_fire_dup_for_data_w_bank) { s3_valid_dup_for_data_w_bank(i) := false.B } 1245 1246 io.data_write_dup(i).valid := s3_valid_dup_for_data_w_bank(i) && s3_update_data_cango_dup_for_data_w_bank && update_data_dup_for_data_w_bank 1247 io.data_write_dup(i).bits.way_en := RegEnable(s2_way_en, s2_fire_to_s3) 1248 io.data_write_dup(i).bits.addr := RegEnable(s2_req.vaddr, s2_fire_to_s3) 1249 } 1250 // ------------------------------------------------------------------------------------- 1251 1252 // ---------------- duplicate regs for wb.valid to solve fanout ---------------- 1253 val s3_req_miss_dup_for_wb_valid = RegEnable(s2_req.miss, s2_fire_to_s3) 1254 val s3_req_probe_dup_for_wb_valid = RegEnable(s2_req.probe, s2_fire_to_s3) 1255 val s3_tag_match_dup_for_wb_valid = RegEnable(s2_tag_match, s2_fire_to_s3) 1256 val s3_coh_dup_for_wb_valid = RegEnable(s2_coh, s2_fire_to_s3) 1257 val s3_req_probe_param_dup_for_wb_valid = RegEnable(s2_req.probe_param, s2_fire_to_s3) 1258 val (_, _, probe_new_coh_dup_for_wb_valid) = s3_coh_dup_for_wb_valid.onProbe(s3_req_probe_param_dup_for_wb_valid) 1259 val s3_req_source_dup_for_wb_valid = RegEnable(s2_req.source, s2_fire_to_s3) 1260 val s3_req_cmd_dup_for_wb_valid = RegEnable(s2_req.cmd, s2_fire_to_s3) 1261 val s3_req_replace_dup_for_wb_valid = RegEnable(s2_req.replace, s2_fire_to_s3) 1262 val s3_hit_coh_dup_for_wb_valid = RegEnable(s2_hit_coh, s2_fire_to_s3) 1263 val s3_new_hit_coh_dup_for_wb_valid = RegEnable(s2_new_hit_coh, s2_fire_to_s3) 1264 1265 val miss_update_meta_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid 1266 val probe_update_meta_dup_for_wb_valid = s3_req_probe_dup_for_wb_valid && s3_tag_match_dup_for_wb_valid && s3_coh_dup_for_wb_valid =/= probe_new_coh_dup_for_wb_valid 1267 val store_update_meta_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === STORE_SOURCE.U && 1268 !s3_req_probe_dup_for_wb_valid && 1269 s3_hit_coh_dup_for_wb_valid =/= s3_new_hit_coh_dup_for_wb_valid 1270 val amo_update_meta_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && 1271 !s3_req_probe_dup_for_wb_valid && 1272 s3_hit_coh_dup_for_wb_valid =/= s3_new_hit_coh_dup_for_wb_valid 1273 val update_meta_dup_for_wb_valid = ( 1274 miss_update_meta_dup_for_wb_valid || 1275 probe_update_meta_dup_for_wb_valid || 1276 store_update_meta_dup_for_wb_valid || 1277 amo_update_meta_dup_for_wb_valid 1278 ) && !s3_req_replace_dup_for_wb_valid 1279 1280 val s3_valid_dup_for_wb_valid = RegInit(false.B) 1281 val s3_amo_hit_dup_for_wb_valid = RegEnable(s2_amo_hit, s2_fire_to_s3) 1282 val s3_s_amoalu_dup_for_wb_valid = RegInit(false.B) 1283 val amo_wait_amoalu_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && 1284 s3_req_cmd_dup_for_wb_valid =/= M_XLR && 1285 s3_req_cmd_dup_for_wb_valid =/= M_XSC 1286 val do_amoalu_dup_for_wb_valid = amo_wait_amoalu_dup_for_wb_valid && s3_valid_dup_for_wb_valid && !s3_s_amoalu_dup_for_wb_valid 1287 1288 val s3_store_hit_dup_for_wb_valid = RegEnable(s2_store_hit, s2_fire_to_s3) 1289 val s3_req_addr_dup_for_wb_valid = RegEnable(s2_req.addr, s2_fire_to_s3) 1290 val s3_can_do_amo_dup_for_wb_valid = (s3_req_miss_dup_for_wb_valid && !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U) || 1291 s3_amo_hit_dup_for_wb_valid 1292 1293 val s3_lr_dup_for_wb_valid = !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_wb_valid === M_XLR 1294 val s3_sc_dup_for_wb_valid = !s3_req_probe_dup_for_wb_valid && s3_req_source_dup_for_wb_valid === AMO_SOURCE.U && s3_req_cmd_dup_for_wb_valid === M_XSC 1295 val lrsc_addr_dup_for_wb_valid = Reg(UInt()) 1296 val lrsc_count_dup_for_wb_valid = RegInit(0.U(log2Ceil(LRSCCycles).W)) 1297 1298 when (s3_valid_dup_for_wb_valid && (s3_lr_dup_for_wb_valid || s3_sc_dup_for_wb_valid)) { 1299 when (s3_can_do_amo_dup_for_wb_valid && s3_lr_dup_for_wb_valid) { 1300 lrsc_count_dup_for_wb_valid := (LRSCCycles - 1).U 1301 lrsc_addr_dup_for_wb_valid := get_block_addr(s3_req_addr_dup_for_wb_valid) 1302 }.otherwise { 1303 lrsc_count_dup_for_wb_valid := 0.U 1304 } 1305 }.elsewhen (io.invalid_resv_set) { 1306 lrsc_count_dup_for_wb_valid := 0.U 1307 }.elsewhen (lrsc_count_dup_for_wb_valid > 0.U) { 1308 lrsc_count_dup_for_wb_valid := lrsc_count_dup_for_wb_valid - 1.U 1309 } 1310 1311 val lrsc_valid_dup_for_wb_valid = lrsc_count_dup_for_wb_valid > LRSCBackOff.U 1312 val s3_lrsc_addr_match_dup_for_wb_valid = lrsc_valid_dup_for_wb_valid && lrsc_addr_dup_for_wb_valid === get_block_addr(s3_req_addr_dup_for_wb_valid) 1313 val s3_sc_fail_dup_for_wb_valid = s3_sc_dup_for_wb_valid && !s3_lrsc_addr_match_dup_for_wb_valid 1314 val s3_can_do_amo_write_dup_for_wb_valid = s3_can_do_amo_dup_for_wb_valid && isWrite(s3_req_cmd_dup_for_wb_valid) && !s3_sc_fail_dup_for_wb_valid 1315 val update_data_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid || s3_store_hit_dup_for_wb_valid || s3_can_do_amo_write_dup_for_wb_valid 1316 1317 val s3_probe_can_go_dup_for_wb_valid = s3_req_probe_dup_for_wb_valid && 1318 io.wb_ready_dup(wbPort) && 1319 (io.meta_write.ready || !probe_update_meta_dup_for_wb_valid) 1320 val s3_store_can_go_dup_for_wb_valid = s3_req_source_dup_for_wb_valid === STORE_SOURCE.U && !s3_req_probe_dup_for_wb_valid && 1321 (io.meta_write.ready || !store_update_meta_dup_for_wb_valid) && 1322 (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) && !s3_req_miss_dup_for_wb_valid 1323 val s3_amo_can_go_dup_for_wb_valid = s3_amo_hit_dup_for_wb_valid && 1324 (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) && 1325 (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) && 1326 (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) 1327 val s3_miss_can_go_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid && 1328 (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) && 1329 (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) && 1330 (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) && 1331 io.tag_write_ready_dup(wbPort) && 1332 io.wb_ready_dup(wbPort) 1333 val s3_replace_can_go_dup_for_wb_valid = s3_req_replace_dup_for_wb_valid && 1334 (s3_coh_dup_for_wb_valid.state === ClientStates.Nothing || io.wb_ready_dup(wbPort)) 1335 val s3_can_go_dup_for_wb_valid = s3_probe_can_go_dup_for_wb_valid || 1336 s3_store_can_go_dup_for_wb_valid || 1337 s3_amo_can_go_dup_for_wb_valid || 1338 s3_miss_can_go_dup_for_wb_valid || 1339 s3_replace_can_go_dup_for_wb_valid 1340 val s3_update_data_cango_dup_for_wb_valid = s3_store_can_go_dup_for_wb_valid || s3_amo_can_go_dup_for_wb_valid || s3_miss_can_go_dup_for_wb_valid 1341 1342 val s3_fire_dup_for_wb_valid = s3_valid_dup_for_wb_valid && s3_can_go_dup_for_wb_valid 1343 when (do_amoalu_dup_for_wb_valid) { s3_s_amoalu_dup_for_wb_valid := true.B } 1344 when (s3_fire_dup_for_wb_valid) { s3_s_amoalu_dup_for_wb_valid := false.B } 1345 1346 val s3_banked_store_wmask_dup_for_wb_valid = RegEnable(s2_banked_store_wmask, s2_fire_to_s3) 1347 val s3_req_word_idx_dup_for_wb_valid = RegEnable(s2_req.word_idx, s2_fire_to_s3) 1348 val s3_replace_nothing_dup_for_wb_valid = s3_req_replace_dup_for_wb_valid && s3_coh_dup_for_wb_valid.state === ClientStates.Nothing 1349 1350 val s3_sc_data_merged_dup_for_wb_valid = Wire(Vec(DCacheBanks, UInt(DCacheSRAMRowBits.W))) 1351 val s3_req_amo_data_dup_for_wb_valid = RegEnable(s2_req.amo_data, s2_fire_to_s3) 1352 val s3_req_amo_mask_dup_for_wb_valid = RegEnable(s2_req.amo_mask, s2_fire_to_s3) 1353 for (i <- 0 until DCacheBanks) { 1354 val old_data = s3_store_data_merged(i) 1355 s3_sc_data_merged_dup_for_wb_valid(i) := mergePutData(old_data, s3_req_amo_data_dup_for_wb_valid, 1356 Mux( 1357 s3_req_word_idx_dup_for_wb_valid === i.U && !s3_sc_fail_dup_for_wb_valid, 1358 s3_req_amo_mask_dup_for_wb_valid, 1359 0.U(wordBytes.W) 1360 ) 1361 ) 1362 } 1363 1364 val s3_need_replacement_dup_for_wb_valid = RegEnable(s2_need_replacement, s2_fire_to_s3) 1365 val miss_wb_dup_for_wb_valid = s3_req_miss_dup_for_wb_valid && s3_need_replacement_dup_for_wb_valid && 1366 s3_coh_dup_for_wb_valid.state =/= ClientStates.Nothing 1367 val need_wb_dup_for_wb_valid = miss_wb_dup_for_wb_valid || s3_req_probe_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid 1368 1369 val s3_tag_dup_for_wb_valid = RegEnable(s2_tag, s2_fire_to_s3) 1370 1371 val (_, probe_shrink_param_dup_for_wb_valid, _) = s3_coh_dup_for_wb_valid.onProbe(s3_req_probe_param_dup_for_wb_valid) 1372 val (_, miss_shrink_param_dup_for_wb_valid, _) = s3_coh_dup_for_wb_valid.onCacheControl(M_FLUSH) 1373 val writeback_param_dup_for_wb_valid = Mux( 1374 s3_req_probe_dup_for_wb_valid, 1375 probe_shrink_param_dup_for_wb_valid, 1376 miss_shrink_param_dup_for_wb_valid 1377 ) 1378 val writeback_data_dup_for_wb_valid = if (dcacheParameters.alwaysReleaseData) { 1379 s3_tag_match_dup_for_wb_valid && s3_req_probe_dup_for_wb_valid && RegEnable(s2_req.probe_need_data, s2_fire_to_s3) || 1380 s3_coh_dup_for_wb_valid === ClientStates.Dirty || (miss_wb_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid) && s3_coh_dup_for_wb_valid.state =/= ClientStates.Nothing 1381 } else { 1382 s3_tag_match_dup_for_wb_valid && s3_req_probe_dup_for_wb_valid && RegEnable(s2_req.probe_need_data, s2_fire_to_s3) || s3_coh_dup_for_wb_valid === ClientStates.Dirty 1383 } 1384 1385 when (s2_fire_to_s3) { s3_valid_dup_for_wb_valid := true.B } 1386 .elsewhen (s3_fire_dup_for_wb_valid) { s3_valid_dup_for_wb_valid := false.B } 1387 1388 // ------------------------------------------------------------------------------------- 1389 1390 val s3_fire = s3_valid_dup(4) && s3_can_go 1391 when (s2_fire_to_s3) { 1392 s3_valid := true.B 1393 s3_valid_dup.foreach(_ := true.B) 1394 s3_valid_dup_for_status.foreach(_ := true.B) 1395 }.elsewhen (s3_fire) { 1396 s3_valid := false.B 1397 s3_valid_dup.foreach(_ := false.B) 1398 s3_valid_dup_for_status.foreach(_ := false.B) 1399 } 1400 s3_ready := !s3_valid_dup(5) || s3_can_go 1401 s3_s0_set_conflict := s3_valid_dup(6) && s3_idx_dup(0) === s0_idx 1402 s3_s0_set_conflict_store := s3_valid_dup(7) && s3_idx_dup(1) === store_idx 1403 //assert(RegNext(!s3_valid || !(s3_req_source_dup_2 === STORE_SOURCE.U && !s3_req.probe) || s3_hit)) // miss store should never come to s3 ,fixed(reserve) 1404 1405 when(s3_fire) { 1406 s3_s_amoalu := false.B 1407 s3_s_amoalu_dup.foreach(_ := false.B) 1408 } 1409 1410 req.ready := s0_can_go 1411 1412 io.meta_read.valid := req.valid && s1_ready && !set_conflict 1413 io.meta_read.bits.idx := get_idx(s0_req.vaddr) 1414 io.meta_read.bits.way_en := Mux(s0_req.replace, s0_req.replace_way_en, ~0.U(nWays.W)) 1415 1416 io.tag_read.valid := req.valid && s1_ready && !set_conflict && !s0_req.replace 1417 io.tag_read.bits.idx := get_idx(s0_req.vaddr) 1418 io.tag_read.bits.way_en := ~0.U(nWays.W) 1419 1420 io.data_read_intend := s1_valid_dup(3) && s1_need_data 1421 io.data_readline.valid := s1_valid_dup(4) && s1_need_data 1422 io.data_readline.bits.rmask := s1_banked_rmask 1423 io.data_readline.bits.way_en := s1_way_en 1424 io.data_readline.bits.addr := s1_req_vaddr_dup_for_data_read 1425 1426 io.miss_req.valid := s2_valid_dup(4) && s2_can_go_to_mq_dup(0) 1427 val miss_req = io.miss_req.bits 1428 miss_req := DontCare 1429 miss_req.source := s2_req.source 1430 miss_req.pf_source := L1_HW_PREFETCH_NULL 1431 miss_req.cmd := s2_req.cmd 1432 miss_req.addr := s2_req.addr 1433 miss_req.vaddr := s2_req_vaddr_dup_for_miss_req 1434 miss_req.store_data := s2_req.store_data 1435 miss_req.store_mask := s2_req.store_mask 1436 miss_req.word_idx := s2_req.word_idx 1437 miss_req.amo_data := s2_req.amo_data 1438 miss_req.amo_mask := s2_req.amo_mask 1439 miss_req.req_coh := s2_hit_coh 1440 miss_req.id := s2_req.id 1441 miss_req.cancel := false.B 1442 miss_req.pc := DontCare 1443 miss_req.full_overwrite := s2_req.isStore && s2_req.store_mask.andR 1444 1445 io.store_replay_resp.valid := s2_valid_dup(5) && s2_can_go_to_mq_dup(1) && replay && s2_req.isStore 1446 io.store_replay_resp.bits.data := DontCare 1447 io.store_replay_resp.bits.miss := true.B 1448 io.store_replay_resp.bits.replay := true.B 1449 io.store_replay_resp.bits.id := s2_req.id 1450 1451 io.store_hit_resp.valid := s3_valid_dup(8) && (s3_store_can_go || (s3_miss_can_go && s3_req.isStore)) 1452 io.store_hit_resp.bits.data := DontCare 1453 io.store_hit_resp.bits.miss := false.B 1454 io.store_hit_resp.bits.replay := false.B 1455 io.store_hit_resp.bits.id := s3_req.id 1456 1457 io.release_update.valid := s3_valid_dup(9) && (s3_store_can_go || s3_amo_can_go) && s3_hit && update_data 1458 io.release_update.bits.addr := s3_req_addr_dup(3) 1459 io.release_update.bits.mask := Mux(s3_store_hit_dup(1), s3_banked_store_wmask, banked_amo_wmask) 1460 io.release_update.bits.data := Mux( 1461 amo_wait_amoalu, 1462 s3_amo_data_merged_reg, 1463 Mux( 1464 s3_sc, 1465 s3_sc_data_merged, 1466 s3_store_data_merged 1467 ) 1468 ).asUInt 1469 1470 val atomic_hit_resp = Wire(new MainPipeResp) 1471 atomic_hit_resp.source := s3_req.source 1472 atomic_hit_resp.data := Mux(s3_sc, s3_sc_resp, s3_data_word) 1473 atomic_hit_resp.miss := false.B 1474 atomic_hit_resp.miss_id := s3_req.miss_id 1475 atomic_hit_resp.error := s3_error 1476 atomic_hit_resp.replay := false.B 1477 atomic_hit_resp.ack_miss_queue := s3_req_miss_dup(5) 1478 atomic_hit_resp.id := lrsc_valid_dup(2) 1479 val atomic_replay_resp = Wire(new MainPipeResp) 1480 atomic_replay_resp.source := s2_req.source 1481 atomic_replay_resp.data := DontCare 1482 atomic_replay_resp.miss := true.B 1483 atomic_replay_resp.miss_id := DontCare 1484 atomic_replay_resp.error := false.B 1485 atomic_replay_resp.replay := true.B 1486 atomic_replay_resp.ack_miss_queue := false.B 1487 atomic_replay_resp.id := DontCare 1488 1489 val atomic_replay_resp_valid = s2_valid_dup(6) && s2_can_go_to_mq_dup(2) && replay && (s2_req.isAMO || s2_req.miss) 1490 val atomic_hit_resp_valid = s3_valid_dup(10) && (s3_amo_can_go || s3_miss_can_go && (s3_req.isAMO || s3_req.miss)) 1491 1492 io.atomic_resp.valid := atomic_replay_resp_valid || atomic_hit_resp_valid 1493 io.atomic_resp.bits := Mux(atomic_replay_resp_valid, atomic_replay_resp, atomic_hit_resp) 1494 1495 // io.replace_resp.valid := s3_fire && s3_req_replace_dup(3) 1496 // io.replace_resp.bits := s3_req.miss_id 1497 1498 io.meta_write.valid := s3_fire_dup_for_meta_w_valid && update_meta_dup_for_meta_w_valid 1499 io.meta_write.bits.idx := s3_idx_dup(2) 1500 io.meta_write.bits.way_en := s3_way_en_dup(0) 1501 io.meta_write.bits.meta.coh := new_coh 1502 1503 io.error_flag_write.valid := s3_fire_dup_for_err_w_valid && update_meta_dup_for_err_w_valid && s3_l2_error 1504 io.error_flag_write.bits.idx := s3_idx_dup(3) 1505 io.error_flag_write.bits.way_en := s3_way_en_dup(1) 1506 io.error_flag_write.bits.flag := s3_l2_error 1507 1508 // if we use (prefetch_flag && meta =/= ClientStates.Nothing) for prefetch check 1509 // prefetch_flag_write can be omited 1510 io.prefetch_flag_write.valid := s3_fire_dup_for_meta_w_valid && s3_req.miss 1511 io.prefetch_flag_write.bits.idx := s3_idx_dup(3) 1512 io.prefetch_flag_write.bits.way_en := s3_way_en_dup(1) 1513 io.prefetch_flag_write.bits.source := s3_req.pf_source 1514 1515 // regenerate repl_way & repl_coh 1516 io.bloom_filter_query.set.valid := s2_fire_to_s3 && s2_req.miss && !isFromL1Prefetch(s2_repl_pf) && s2_repl_coh.isValid() && isFromL1Prefetch(s2_req.pf_source) 1517 io.bloom_filter_query.set.bits.addr := io.bloom_filter_query.set.bits.get_addr(Cat(s2_repl_tag, get_untag(s2_req.vaddr))) // the evict block address 1518 1519 io.bloom_filter_query.clr.valid := s3_fire && isFromL1Prefetch(s3_req.pf_source) 1520 io.bloom_filter_query.clr.bits.addr := io.bloom_filter_query.clr.bits.get_addr(s3_req.addr) 1521 1522 XSPerfAccumulate("mainpipe_update_prefetchArray", io.prefetch_flag_write.valid) 1523 XSPerfAccumulate("mainpipe_s2_miss_req", s2_valid && s2_req.miss) 1524 XSPerfAccumulate("mainpipe_s2_block_penalty", s2_valid && s2_req.miss && !io.refill_info.valid) 1525 XSPerfAccumulate("mainpipe_s2_missqueue_replay", s2_valid && s2_can_go_to_mq_replay) 1526 XSPerfAccumulate("mainpipe_slot_conflict_1_2", (s1_idx === s2_idx && s1_way_en === s2_way_en && s1_req.miss && s2_req.miss && s1_valid && s2_valid )) 1527 XSPerfAccumulate("mainpipe_slot_conflict_1_3", (s1_idx === s3_idx_dup_for_replace_access && s1_way_en === s3_way_en && s1_req.miss && s3_req.miss && s1_valid && s3_valid)) 1528 XSPerfAccumulate("mainpipe_slot_conflict_2_3", (s2_idx === s3_idx_dup_for_replace_access && s2_way_en === s3_way_en && s2_req.miss && s3_req.miss && s2_valid && s3_valid)) 1529 // probe / replace will not update access bit 1530 io.access_flag_write.valid := s3_fire_dup_for_meta_w_valid && !s3_req.probe && !s3_req.replace 1531 io.access_flag_write.bits.idx := s3_idx_dup(3) 1532 io.access_flag_write.bits.way_en := s3_way_en_dup(1) 1533 // io.access_flag_write.bits.flag := true.B 1534 io.access_flag_write.bits.flag :=Mux(s3_req.miss, s3_req.access, true.B) 1535 1536 io.tag_write.valid := s3_fire_dup_for_tag_w_valid && s3_req_miss_dup_for_tag_w_valid 1537 io.tag_write.bits.idx := s3_idx_dup(4) 1538 io.tag_write.bits.way_en := s3_way_en_dup(2) 1539 io.tag_write.bits.tag := get_tag(s3_req_addr_dup(4)) 1540 io.tag_write.bits.vaddr := s3_req_vaddr_dup_for_data_write 1541 1542 io.tag_write_intend := s3_req_miss_dup(7) && s3_valid_dup(11) 1543 XSPerfAccumulate("fake_tag_write_intend", io.tag_write_intend && !io.tag_write.valid) 1544 XSPerfAccumulate("mainpipe_tag_write", io.tag_write.valid) 1545 1546 assert(!RegNext(io.tag_write.valid && !io.tag_write_intend)) 1547 1548 io.data_write.valid := s3_valid_dup_for_data_w_valid && s3_update_data_cango_dup_for_data_w_valid && update_data_dup_for_data_w_valid 1549 io.data_write.bits.way_en := s3_way_en_dup(3) 1550 io.data_write.bits.addr := s3_req_vaddr_dup_for_data_write 1551 io.data_write.bits.wmask := banked_wmask 1552 io.data_write.bits.data := Mux( 1553 amo_wait_amoalu_dup_for_data_w_valid, 1554 s3_amo_data_merged_reg, 1555 Mux( 1556 s3_sc_dup_for_data_w_valid, 1557 s3_sc_data_merged_dup_for_data_w_valid, 1558 s3_store_data_merged 1559 ) 1560 ) 1561 //assert(RegNext(!io.meta_write.valid || !s3_req.replace)) 1562 assert(RegNext(!io.tag_write.valid || !s3_req.replace)) 1563 assert(RegNext(!io.data_write.valid || !s3_req.replace)) 1564 1565 io.wb.valid := s3_valid_dup_for_wb_valid && ( 1566 // replace 1567 s3_req_replace_dup_for_wb_valid && !s3_replace_nothing_dup_for_wb_valid || 1568 // probe can go to wbq 1569 s3_req_probe_dup_for_wb_valid && (io.meta_write.ready || !probe_update_meta_dup_for_wb_valid) || 1570 // amo miss can go to wbq 1571 s3_req_miss_dup_for_wb_valid && 1572 (io.meta_write.ready || !amo_update_meta_dup_for_wb_valid) && 1573 (io.data_write_ready_dup(wbPort) || !update_data_dup_for_wb_valid) && 1574 (s3_s_amoalu_dup_for_wb_valid || !amo_wait_amoalu_dup_for_wb_valid) && 1575 io.tag_write_ready_dup(wbPort) 1576 ) && need_wb_dup_for_wb_valid 1577 1578 io.wb.bits.addr := get_block_addr(Cat(s3_tag_dup_for_wb_valid, get_untag(s3_req.vaddr))) 1579 io.wb.bits.param := writeback_param_dup_for_wb_valid 1580 io.wb.bits.voluntary := s3_req_miss_dup_for_wb_valid || s3_req_replace_dup_for_wb_valid 1581 io.wb.bits.hasData := writeback_data_dup_for_wb_valid 1582 io.wb.bits.dirty := s3_coh_dup_for_wb_valid === ClientStates.Dirty 1583 io.wb.bits.data := s3_data.asUInt 1584 io.wb.bits.delay_release := s3_req_replace_dup_for_wb_valid 1585 io.wb.bits.miss_id := s3_req.miss_id 1586 1587 // update plru in main pipe s3 1588 io.replace_access.valid := GatedValidRegNext(s2_fire_to_s3) && !s3_req.probe && (s3_req.miss || ((s3_req.isAMO || s3_req.isStore) && s3_hit)) 1589 io.replace_access.bits.set := s3_idx_dup_for_replace_access 1590 io.replace_access.bits.way := OHToUInt(s3_way_en) 1591 1592 io.replace_way.set.valid := GatedValidRegNext(s0_fire) 1593 io.replace_way.set.bits := s1_idx_dup_for_replace_way 1594 io.replace_way.dmWay := s1_dmWay_dup_for_replace_way 1595 1596 // send evict hint to sms 1597 io.sms_agt_evict_req.valid := s2_valid && s2_req.miss && s2_fire_to_s3 1598 io.sms_agt_evict_req.bits.vaddr := Cat(s2_repl_tag(tagBits - 1, 2), s2_req.vaddr(13,12), 0.U((VAddrBits - tagBits).W)) 1599 1600 // TODO: consider block policy of a finer granularity 1601 io.status.s0_set.valid := req.valid 1602 io.status.s0_set.bits := get_idx(s0_req.vaddr) 1603 io.status.s1.valid := s1_valid_dup(5) 1604 io.status.s1.bits.set := s1_idx 1605 io.status.s1.bits.way_en := s1_way_en 1606 io.status.s2.valid := s2_valid_dup(7) && !s2_req_replace_dup_2 1607 io.status.s2.bits.set := s2_idx_dup_for_status 1608 io.status.s2.bits.way_en := s2_way_en 1609 io.status.s3.valid := s3_valid && !s3_req_replace_dup(7) 1610 io.status.s3.bits.set := s3_idx_dup(5) 1611 io.status.s3.bits.way_en := s3_way_en 1612 1613 for ((s, i) <- io.status_dup.zipWithIndex) { 1614 s.s1.valid := s1_valid_dup_for_status(i) 1615 s.s1.bits.set := RegEnable(get_idx(s0_req.vaddr), s0_fire) 1616 s.s1.bits.way_en := s1_way_en 1617 s.s2.valid := s2_valid_dup_for_status(i) && !RegEnable(s1_req.replace, s1_fire) 1618 s.s2.bits.set := RegEnable(get_idx(s1_req.vaddr), s1_fire) 1619 s.s2.bits.way_en := RegEnable(s1_way_en, s1_fire) 1620 s.s3.valid := s3_valid_dup_for_status(i) && !RegEnable(s2_req.replace, s2_fire_to_s3) 1621 s.s3.bits.set := RegEnable(get_idx(s2_req.vaddr), s2_fire_to_s3) 1622 s.s3.bits.way_en := RegEnable(s2_way_en, s2_fire_to_s3) 1623 } 1624 dontTouch(io.status_dup) 1625 1626 io.mainpipe_info.s2_valid := s2_valid 1627 io.mainpipe_info.s2_miss_id := s2_req.miss_id 1628 io.mainpipe_info.s2_replay_to_mq := s2_valid && s2_can_go_to_mq_replay 1629 io.mainpipe_info.s3_valid := s3_valid 1630 io.mainpipe_info.s3_miss_id := s3_req.miss_id 1631 io.mainpipe_info.s3_refill_resp := RegNext(s2_valid && s2_req.miss && s2_fire_to_s3) 1632 1633 // report error to beu and csr, 1 cycle after read data resp 1634 io.error := 0.U.asTypeOf(ValidIO(new L1CacheErrorInfo)) 1635 // report error, update error csr 1636 io.error.valid := s3_error && GatedValidRegNext(s2_fire) 1637 // only tag_error and data_error will be reported to beu 1638 // l2_error should not be reported (l2 will report that) 1639 io.error.bits.report_to_beu := (RegEnable(s2_tag_error, s2_fire) || s3_data_error) && RegNext(s2_fire) 1640 io.error.bits.paddr := RegEnable(s2_req.addr, s2_fire) 1641 io.error.bits.source.tag := RegEnable(s2_tag_error, s2_fire) 1642 io.error.bits.source.data := s3_data_error 1643 io.error.bits.source.l2 := RegEnable(s2_flag_error || s2_l2_error, s2_fire) 1644 io.error.bits.opType.store := RegEnable(s2_req.isStore && !s2_req.probe, s2_fire) 1645 io.error.bits.opType.probe := RegEnable(s2_req.probe, s2_fire) 1646 io.error.bits.opType.release := RegEnable(s2_req.replace, s2_fire) 1647 io.error.bits.opType.atom := RegEnable(s2_req.isAMO && !s2_req.probe, s2_fire) 1648 1649 val perfEvents = Seq( 1650 ("dcache_mp_req ", s0_fire ), 1651 ("dcache_mp_total_penalty", PopCount(VecInit(Seq(s0_fire, s1_valid, s2_valid, s3_valid)))) 1652 ) 1653 generatePerfEvent() 1654}