1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.tilelink.ClientMetadata 23import utils.HasPerfEvents 24import utility.{ParallelPriorityMux, OneHot, ChiselDB, ParallelORR, ParallelMux, XSDebug, XSPerfAccumulate} 25import xiangshan.{XSCoreParamsKey, L1CacheErrorInfo} 26import xiangshan.cache.wpu._ 27import xiangshan.mem.HasL1PrefetchSourceParameter 28import xiangshan.mem.prefetch._ 29import xiangshan.mem.LqPtr 30 31class LoadPfDbBundle(implicit p: Parameters) extends DCacheBundle { 32 val paddr = UInt(PAddrBits.W) 33} 34 35class LoadPipe(id: Int)(implicit p: Parameters) extends DCacheModule with HasPerfEvents with HasL1PrefetchSourceParameter { 36 val io = IO(new DCacheBundle { 37 // incoming requests 38 val lsu = Flipped(new DCacheLoadIO) 39 val dwpu = Flipped(new DwpuBaseIO(nWays = nWays, nPorts = 1)) 40 val load128Req = Input(Bool()) 41 // req got nacked in stage 0? 42 val nack = Input(Bool()) 43 44 // meta and data array read port 45 val meta_read = DecoupledIO(new MetaReadReq) 46 val meta_resp = Input(Vec(nWays, new Meta)) 47 val extra_meta_resp = Input(Vec(nWays, new DCacheExtraMeta)) 48 49 val tag_read = DecoupledIO(new TagReadReq) 50 val tag_resp = Input(Vec(nWays, UInt(encTagBits.W))) 51 val vtag_update = Flipped(DecoupledIO(new TagWriteReq)) 52 53 val banked_data_read = DecoupledIO(new L1BankedDataReadReqWithMask) 54 val is128Req = Output(Bool()) 55 val banked_data_resp = Input(Vec(VLEN/DCacheSRAMRowBits, new L1BankedDataReadResult())) 56 val read_error_delayed = Input(Vec(VLEN/DCacheSRAMRowBits, Bool())) 57 58 // access bit update 59 val access_flag_write = DecoupledIO(new FlagMetaWriteReq) 60 val prefetch_flag_write = DecoupledIO(new SourceMetaWriteReq) 61 62 // banked data read conflict 63 val bank_conflict_slow = Input(Bool()) 64 65 // send miss request to miss queue 66 val miss_req = DecoupledIO(new MissReq) 67 val miss_resp = Input(new MissResp) 68 69 // update state vec in replacement algo 70 val replace_access = ValidIO(new ReplacementAccessBundle) 71 // find the way to be replaced 72 val replace_way = new ReplacementWayReqIO 73 74 // load fast wakeup should be disabled when data read is not ready 75 val disable_ld_fast_wakeup = Input(Bool()) 76 77 // ecc error 78 val error = Output(ValidIO(new L1CacheErrorInfo)) 79 80 val prefetch_info = new Bundle { 81 val naive = new Bundle { 82 val total_prefetch = Output(Bool()) 83 val late_hit_prefetch = Output(Bool()) 84 val late_prefetch_hit = Output(Bool()) 85 val late_load_hit = Output(Bool()) 86 val useless_prefetch = Output(Bool()) 87 val useful_prefetch = Output(Bool()) 88 val prefetch_hit = Output(Bool()) 89 } 90 91 val fdp = new Bundle { 92 val useful_prefetch = Output(Bool()) 93 val demand_miss = Output(Bool()) 94 val pollution = Output(Bool()) 95 } 96 } 97 98 val bloom_filter_query = new Bundle { 99 val query = ValidIO(new BloomQueryBundle(BLOOM_FILTER_ENTRY_NUM)) 100 val resp = Flipped(ValidIO(new BloomRespBundle())) 101 } 102 103 val counter_filter_query = new CounterFilterQueryBundle 104 val counter_filter_enq = new ValidIO(new CounterFilterDataBundle()) 105 106 // miss queue cancel the miss request 107 val mq_enq_cancel = Input(Bool()) 108 }) 109 110 assert(RegNext(io.meta_read.ready)) 111 112 val s1_ready = Wire(Bool()) 113 val s2_ready = Wire(Bool()) 114 // LSU requests 115 // it you got nacked, you can directly passdown 116 val not_nacked_ready = io.meta_read.ready && io.tag_read.ready && s1_ready 117 val nacked_ready = true.B 118 119 // Pipeline 120 // -------------------------------------------------------------------------------- 121 // stage 0 122 // -------------------------------------------------------------------------------- 123 // read tag 124 125 // ready can wait for valid 126 io.lsu.req.ready := (!io.nack && not_nacked_ready) || (io.nack && nacked_ready) 127 io.meta_read.valid := io.lsu.req.fire && !io.nack 128 io.tag_read.valid := io.lsu.req.fire && !io.nack 129 130 val s0_valid = io.lsu.req.fire 131 val s0_req = io.lsu.req.bits 132 val s0_fire = s0_valid && s1_ready 133 val s0_vaddr = s0_req.vaddr 134 val s0_replayCarry = s0_req.replayCarry 135 val s0_load128Req = io.load128Req 136 val s0_bank_oh_64 = UIntToOH(addr_to_dcache_bank(s0_vaddr)) 137 val s0_bank_oh_128 = (s0_bank_oh_64 << 1.U).asUInt | s0_bank_oh_64.asUInt 138 val s0_bank_oh = Mux(s0_load128Req, s0_bank_oh_128, s0_bank_oh_64) 139 assert(RegNext(!(s0_valid && (s0_req.cmd =/= MemoryOpConstants.M_XRD && s0_req.cmd =/= MemoryOpConstants.M_PFR && s0_req.cmd =/= MemoryOpConstants.M_PFW))), "LoadPipe only accepts load req / softprefetch read or write!") 140 dump_pipeline_reqs("LoadPipe s0", s0_valid, s0_req) 141 142 // wpu 143 // val dwpu = Module(new DCacheWpuWrapper) 144 // req in s0 145 if(dwpuParam.enWPU){ 146 io.dwpu.req(0).bits.vaddr := s0_vaddr 147 io.dwpu.req(0).bits.replayCarry := s0_replayCarry 148 io.dwpu.req(0).valid := s0_valid 149 }else{ 150 io.dwpu.req(0).valid := false.B 151 io.dwpu.req(0).bits := DontCare 152 } 153 154 155 val meta_read = io.meta_read.bits 156 val tag_read = io.tag_read.bits 157 158 // Tag read for new requests 159 meta_read.idx := get_idx(io.lsu.req.bits.vaddr) 160 meta_read.way_en := ~0.U(nWays.W) 161 // meta_read.tag := DontCare 162 163 tag_read.idx := get_idx(io.lsu.req.bits.vaddr) 164 tag_read.way_en := ~0.U(nWays.W) 165 166 // -------------------------------------------------------------------------------- 167 // stage 1 168 // -------------------------------------------------------------------------------- 169 // tag match, read data 170 171 val s1_valid = RegInit(false.B) 172 val s1_req = RegEnable(s0_req, s0_fire) 173 // in stage 1, load unit gets the physical address 174 val s1_paddr_dup_lsu = io.lsu.s1_paddr_dup_lsu 175 val s1_paddr_dup_dcache = io.lsu.s1_paddr_dup_dcache 176 val s1_load128Req = RegEnable(s0_load128Req, s0_fire) 177 val s1_is_prefetch = s1_req.instrtype === DCACHE_PREFETCH_SOURCE.U 178 // LSU may update the address from io.lsu.s1_paddr, which affects the bank read enable only. 179 val s1_vaddr = Cat(s1_req.vaddr(VAddrBits - 1, blockOffBits), io.lsu.s1_paddr_dup_lsu(blockOffBits - 1, 0)) 180 val s1_bank_oh = RegEnable(s0_bank_oh, s0_fire) 181 val s1_nack = RegNext(io.nack) 182 val s1_fire = s1_valid && s2_ready 183 s1_ready := !s1_valid || s1_fire 184 185 when (s0_fire) { s1_valid := true.B } 186 .elsewhen (s1_fire) { s1_valid := false.B } 187 188 dump_pipeline_reqs("LoadPipe s1", s1_valid, s1_req) 189 190 // tag check 191 val meta_resp = io.meta_resp 192 val tag_resp = io.tag_resp.map(r => r(tagBits - 1, 0)) 193 def wayMap[T <: Data](f: Int => T) = VecInit((0 until nWays).map(f)) 194 195 // resp in s1 196 val s1_tag_match_way_dup_dc = wayMap((w: Int) => tag_resp(w) === get_tag(s1_paddr_dup_dcache) && meta_resp(w).coh.isValid()).asUInt 197 val s1_tag_match_way_dup_lsu = wayMap((w: Int) => tag_resp(w) === get_tag(s1_paddr_dup_lsu) && meta_resp(w).coh.isValid()).asUInt 198 val s1_wpu_pred_valid = RegEnable(io.dwpu.resp(0).valid, s0_fire) 199 val s1_wpu_pred_way_en = RegEnable(io.dwpu.resp(0).bits.s0_pred_way_en, s0_fire) 200 201 // lookup update 202 io.dwpu.lookup_upd(0).valid := s1_valid 203 io.dwpu.lookup_upd(0).bits.vaddr := s1_vaddr 204 io.dwpu.lookup_upd(0).bits.s1_real_way_en := s1_tag_match_way_dup_dc 205 io.dwpu.lookup_upd(0).bits.s1_pred_way_en := s1_wpu_pred_way_en 206 // replace / tag write 207 io.vtag_update.ready := true.B 208 // dwpu.io.tagwrite_upd.valid := io.vtag_update.valid 209 // dwpu.io.tagwrite_upd.bits.vaddr := io.vtag_update.bits.vaddr 210 // dwpu.io.tagwrite_upd.bits.s1_real_way_en := io.vtag_update.bits.way_en 211 212 val s1_direct_map_way_num = get_direct_map_way(s1_req.vaddr) 213 if(dwpuParam.enCfPred || !env.FPGAPlatform){ 214 /* method1: record the pc */ 215 // if (!env.FPGAPlatform){ 216 // io.dwpu.cfpred(0).s0_vaddr := io.lsu.s0_pc 217 // io.dwpu.cfpred(0).s1_vaddr := io.lsu.s1_pc 218 // } 219 220 /* method2: record the vaddr */ 221 io.dwpu.cfpred(0).s0_vaddr := s0_vaddr 222 io.dwpu.cfpred(0).s1_vaddr := s1_vaddr 223 // whether direct_map_way miss with valid tag value 224 io.dwpu.cfpred(0).s1_dm_hit := wayMap((w: Int) => w.U === s1_direct_map_way_num && tag_resp(w) === get_tag(s1_paddr_dup_lsu) && meta_resp(w).coh.isValid()).asUInt.orR 225 }else{ 226 io.dwpu.cfpred(0) := DontCare 227 } 228 229 val s1_pred_tag_match_way_dup_dc = Wire(UInt(nWays.W)) 230 val s1_wpu_pred_fail = Wire(Bool()) 231 val s1_wpu_pred_fail_and_real_hit = Wire(Bool()) 232 if (dwpuParam.enWPU) { 233 when(s1_wpu_pred_valid) { 234 s1_pred_tag_match_way_dup_dc := s1_wpu_pred_way_en 235 }.otherwise { 236 s1_pred_tag_match_way_dup_dc := s1_tag_match_way_dup_dc 237 } 238 s1_wpu_pred_fail := s1_valid && s1_tag_match_way_dup_dc =/= s1_pred_tag_match_way_dup_dc 239 s1_wpu_pred_fail_and_real_hit := s1_wpu_pred_fail && s1_tag_match_way_dup_dc.orR 240 } else { 241 s1_pred_tag_match_way_dup_dc := s1_tag_match_way_dup_dc 242 s1_wpu_pred_fail := false.B 243 s1_wpu_pred_fail_and_real_hit := false.B 244 } 245 246 val s1_tag_match_dup_dc = ParallelORR(s1_tag_match_way_dup_dc) 247 val s1_tag_match_dup_lsu = ParallelORR(s1_tag_match_way_dup_lsu) 248 assert(RegNext(!s1_valid || PopCount(s1_tag_match_way_dup_dc) <= 1.U), "tag should not match with more than 1 way") 249 250 // when there are no tag match, we give it a Fake Meta 251 // this simplifies our logic in s2 stage 252 val s1_hit_meta = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => meta_resp(w))) 253 val s1_hit_coh = s1_hit_meta.coh 254 val s1_hit_error = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).error)) 255 val s1_hit_prefetch = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).prefetch)) 256 val s1_hit_access = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).access)) 257 258 // io.replace_way.set.valid := RegNext(s0_fire) 259 io.replace_way.set.valid := false.B 260 io.replace_way.set.bits := get_idx(s1_vaddr) 261 io.replace_way.dmWay := get_direct_map_way(s1_vaddr) 262 val s1_invalid_vec = wayMap(w => !meta_resp(w).coh.isValid()) 263 val s1_have_invalid_way = s1_invalid_vec.asUInt.orR 264 val s1_invalid_way_en = ParallelPriorityMux(s1_invalid_vec.zipWithIndex.map(x => x._1 -> UIntToOH(x._2.U(nWays.W)))) 265 266 val s1_need_replacement = !s1_tag_match_dup_dc 267 268 XSPerfAccumulate("load_using_replacement", io.replace_way.set.valid && s1_need_replacement) 269 270 // query bloom filter 271 io.bloom_filter_query.query.valid := s1_valid 272 io.bloom_filter_query.query.bits.addr := io.bloom_filter_query.query.bits.get_addr(s1_paddr_dup_dcache) 273 274 // get s1_will_send_miss_req in lpad_s1 275 val s1_has_permission = s1_hit_coh.onAccess(s1_req.cmd)._1 276 val s1_new_hit_coh = s1_hit_coh.onAccess(s1_req.cmd)._3 277 val s1_hit = s1_tag_match_dup_dc && s1_has_permission && s1_hit_coh === s1_new_hit_coh 278 val s1_will_send_miss_req = s1_valid && !s1_nack && !s1_hit 279 280 // data read 281 io.banked_data_read.valid := s1_fire && !s1_nack && !io.lsu.s1_kill && !s1_is_prefetch 282 io.banked_data_read.bits.addr := s1_vaddr 283 io.banked_data_read.bits.way_en := s1_pred_tag_match_way_dup_dc 284 io.banked_data_read.bits.bankMask := s1_bank_oh 285 io.is128Req := s1_load128Req 286 287 // check ecc error 288 val s1_encTag = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.tag_resp(w))) 289 val s1_flag_error = Mux(s1_need_replacement, false.B, s1_hit_error) // error reported by exist dcache error bit 290 291 // -------------------------------------------------------------------------------- 292 // stage 2 293 // -------------------------------------------------------------------------------- 294 // return data 295 296 // val s2_valid = RegEnable(next = s1_valid && !io.lsu.s1_kill, init = false.B, enable = s1_fire) 297 val s2_valid = RegInit(false.B) 298 val s2_req = RegEnable(s1_req, s1_fire) 299 val s2_load128Req = RegEnable(s1_load128Req, s1_fire) 300 val s2_paddr = RegEnable(s1_paddr_dup_dcache, s1_fire) 301 val s2_vaddr = RegEnable(s1_vaddr, s1_fire) 302 val s2_bank_oh = RegEnable(s1_bank_oh, s1_fire) 303 val s2_bank_oh_dup_0 = RegEnable(s1_bank_oh, s1_fire) 304 val s2_wpu_pred_fail = RegEnable(s1_wpu_pred_fail, s1_fire) 305 val s2_real_way_en = RegEnable(s1_tag_match_way_dup_dc, s1_fire) 306 val s2_pred_way_en = RegEnable(s1_pred_tag_match_way_dup_dc, s1_fire) 307 val s2_dm_way_num = RegEnable(s1_direct_map_way_num, s1_fire) 308 val s2_wpu_pred_fail_and_real_hit = RegEnable(s1_wpu_pred_fail_and_real_hit, s1_fire) 309 310 s2_ready := true.B 311 312 val s2_fire = s2_valid 313 314 when (s1_fire) { s2_valid := !io.lsu.s1_kill } 315 .elsewhen(io.lsu.resp.fire) { s2_valid := false.B } 316 317 dump_pipeline_reqs("LoadPipe s2", s2_valid, s2_req) 318 319 320 // hit, miss, nack, permission checking 321 // dcache side tag match 322 val s2_tag_match_way = RegEnable(s1_tag_match_way_dup_dc, s1_fire) 323 val s2_tag_match = RegEnable(s1_tag_match_dup_dc, s1_fire) 324 325 // lsu side tag match 326 val s2_hit_dup_lsu = RegNext(s1_tag_match_dup_lsu) 327 328 io.lsu.s2_hit := s2_hit_dup_lsu && !s2_wpu_pred_fail 329 330 val s2_hit_meta = RegEnable(s1_hit_meta, s1_fire) 331 val s2_hit_coh = RegEnable(s1_hit_coh, s1_fire) 332 val s2_has_permission = s2_hit_coh.onAccess(s2_req.cmd)._1 // for write prefetch 333 val s2_new_hit_coh = s2_hit_coh.onAccess(s2_req.cmd)._3 // for write prefetch 334 335 val s2_encTag = RegEnable(s1_encTag, s1_fire) 336 337 // when req got nacked, upper levels should replay this request 338 // nacked or not 339 val s2_nack_hit = RegEnable(s1_nack, s1_fire) 340 // can no allocate mshr for load miss 341 val s2_nack_no_mshr = io.miss_req.valid && !io.miss_req.ready 342 // Bank conflict on data arrays 343 val s2_nack_data = RegEnable(!io.banked_data_read.ready, s1_fire) 344 val s2_nack = s2_nack_hit || s2_nack_no_mshr || s2_nack_data 345 // s2 miss merged 346 val s2_miss_merged = io.miss_req.fire && !io.mq_enq_cancel && io.miss_resp.merged 347 348 val s2_bank_addr = addr_to_dcache_bank(s2_paddr) 349 dontTouch(s2_bank_addr) 350 351 val s2_instrtype = s2_req.instrtype 352 353 val s2_tag_error = WireInit(false.B) 354 val s2_flag_error = RegEnable(s1_flag_error, s1_fire) 355 356 val s2_hit_prefetch = RegEnable(s1_hit_prefetch, s1_fire) 357 val s2_hit_access = RegEnable(s1_hit_access, s1_fire) 358 359 val s2_hit = s2_tag_match && s2_has_permission && s2_hit_coh === s2_new_hit_coh && !s2_wpu_pred_fail 360 361 // only dump these signals when they are actually valid 362 dump_pipeline_valids("LoadPipe s2", "s2_hit", s2_valid && s2_hit) 363 dump_pipeline_valids("LoadPipe s2", "s2_nack", s2_valid && s2_nack) 364 dump_pipeline_valids("LoadPipe s2", "s2_nack_hit", s2_valid && s2_nack_hit) 365 dump_pipeline_valids("LoadPipe s2", "s2_nack_no_mshr", s2_valid && s2_nack_no_mshr) 366 367 val s2_can_send_miss_req = RegEnable(s1_will_send_miss_req, s1_fire) 368 369 if(EnableTagEcc) { 370 s2_tag_error := dcacheParameters.tagCode.decode(s2_encTag).error // error reported by tag ecc check 371 }else { 372 s2_tag_error := false.B 373 } 374 375 // send load miss to miss queue 376 io.miss_req.valid := s2_valid && s2_can_send_miss_req 377 io.miss_req.bits := DontCare 378 io.miss_req.bits.source := s2_instrtype 379 io.miss_req.bits.pf_source := RegNext(RegNext(io.lsu.pf_source)) // TODO: clock gate 380 io.miss_req.bits.cmd := s2_req.cmd 381 io.miss_req.bits.addr := get_block_addr(s2_paddr) 382 io.miss_req.bits.vaddr := s2_vaddr 383 io.miss_req.bits.req_coh := s2_hit_coh 384 io.miss_req.bits.cancel := io.lsu.s2_kill || s2_tag_error 385 io.miss_req.bits.pc := io.lsu.s2_pc 386 io.miss_req.bits.lqIdx := io.lsu.req.bits.lqIdx 387 // send back response 388 val resp = Wire(ValidIO(new DCacheWordResp)) 389 resp.valid := s2_valid 390 resp.bits := DontCare 391 // resp.bits.data := s2_word_decoded 392 // resp.bits.data := banked_data_resp_word.raw_data 393 // * on miss or nack, upper level should replay request 394 // but if we successfully sent the request to miss queue 395 // upper level does not need to replay request 396 // they can sit in load queue and wait for refill 397 // 398 // * report a miss if bank conflict is detected 399 val real_miss = !s2_real_way_en.orR 400 401 resp.bits.real_miss := real_miss 402 resp.bits.miss := real_miss 403 io.lsu.s2_first_hit := s2_req.isFirstIssue && s2_hit 404 // load pipe need replay when there is a bank conflict or wpu predict fail 405 resp.bits.replay := (resp.bits.miss && (!io.miss_req.fire || s2_nack || io.mq_enq_cancel)) || io.bank_conflict_slow || s2_wpu_pred_fail 406 resp.bits.replayCarry.valid := (resp.bits.miss && (!io.miss_req.fire || s2_nack || io.mq_enq_cancel)) || io.bank_conflict_slow || s2_wpu_pred_fail 407 resp.bits.replayCarry.real_way_en := s2_real_way_en 408 resp.bits.meta_prefetch := s2_hit_prefetch 409 resp.bits.meta_access := s2_hit_access 410 resp.bits.tag_error := s2_tag_error // report tag_error in load s2 411 resp.bits.mshr_id := io.miss_resp.id 412 resp.bits.handled := io.miss_req.fire && !io.mq_enq_cancel && io.miss_resp.handled 413 resp.bits.debug_robIdx := s2_req.debug_robIdx 414 // debug info 415 io.lsu.s2_first_hit := s2_req.isFirstIssue && s2_hit 416 io.lsu.debug_s2_real_way_num := OneHot.OHToUIntStartOne(s2_real_way_en) 417 if(dwpuParam.enWPU) { 418 io.lsu.debug_s2_pred_way_num := OneHot.OHToUIntStartOne(s2_pred_way_en) 419 }else{ 420 io.lsu.debug_s2_pred_way_num := 0.U 421 } 422 if(dwpuParam.enWPU && dwpuParam.enCfPred || !env.FPGAPlatform){ 423 io.lsu.debug_s2_dm_way_num := s2_dm_way_num + 1.U 424 }else{ 425 io.lsu.debug_s2_dm_way_num := 0.U 426 } 427 428 429 XSPerfAccumulate("dcache_read_bank_conflict", io.bank_conflict_slow && s2_valid) 430 XSPerfAccumulate("dcache_read_from_prefetched_line", s2_valid && isFromL1Prefetch(s2_hit_prefetch) && !resp.bits.miss) 431 XSPerfAccumulate("dcache_first_read_from_prefetched_line", s2_valid && isFromL1Prefetch(s2_hit_prefetch) && !resp.bits.miss && !s2_hit_access) 432 433 // if ldu0 and ldu1 hit the same, count for 1 434 val total_prefetch = s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) 435 val late_hit_prefetch = s2_valid && s2_hit && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) 436 val late_load_hit = s2_valid && s2_hit && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && !isFromL1Prefetch(s2_hit_prefetch) 437 val late_prefetch_hit = s2_valid && s2_hit && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && isFromL1Prefetch(s2_hit_prefetch) 438 val useless_prefetch = io.miss_req.valid && io.miss_req.ready && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) 439 val useful_prefetch = s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && resp.bits.handled && !io.miss_resp.merged 440 441 val prefetch_hit = s2_valid && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U) && s2_hit && isFromL1Prefetch(s2_hit_prefetch) && s2_req.isFirstIssue 442 443 io.prefetch_info.naive.total_prefetch := total_prefetch 444 io.prefetch_info.naive.late_hit_prefetch := late_hit_prefetch 445 io.prefetch_info.naive.late_load_hit := late_load_hit 446 io.prefetch_info.naive.late_prefetch_hit := late_prefetch_hit 447 io.prefetch_info.naive.useless_prefetch := useless_prefetch 448 io.prefetch_info.naive.useful_prefetch := useful_prefetch 449 io.prefetch_info.naive.prefetch_hit := prefetch_hit 450 451 io.prefetch_info.fdp.demand_miss := s2_valid && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U) && !s2_hit && s2_req.isFirstIssue 452 io.prefetch_info.fdp.pollution := io.prefetch_info.fdp.demand_miss && io.bloom_filter_query.resp.valid && io.bloom_filter_query.resp.bits.res 453 454 io.lsu.resp.valid := resp.valid 455 io.lsu.resp.bits := resp.bits 456 assert(RegNext(!(resp.valid && !io.lsu.resp.ready)), "lsu should be ready in s2") 457 458 when (resp.valid) { 459 resp.bits.dump() 460 } 461 462 io.lsu.debug_s1_hit_way := s1_tag_match_way_dup_dc 463 io.lsu.s1_disable_fast_wakeup := io.disable_ld_fast_wakeup 464 io.lsu.s2_bank_conflict := io.bank_conflict_slow 465 io.lsu.s2_wpu_pred_fail := s2_wpu_pred_fail_and_real_hit 466 io.lsu.s2_mq_nack := (resp.bits.miss && (!io.miss_req.fire || s2_nack_no_mshr || io.mq_enq_cancel)) 467 assert(RegNext(s1_ready && s2_ready), "load pipeline should never be blocked") 468 469 // -------------------------------------------------------------------------------- 470 // stage 3 471 // -------------------------------------------------------------------------------- 472 // report ecc error and get selected dcache data 473 474 val s3_valid = RegNext(s2_valid) 475 val s3_load128Req = RegEnable(s2_load128Req, s2_fire) 476 val s3_vaddr = RegEnable(s2_vaddr, s2_fire) 477 val s3_paddr = RegEnable(s2_paddr, s2_fire) 478 val s3_hit = RegEnable(s2_hit, s2_fire) 479 val s3_tag_match_way = RegEnable(s2_tag_match_way, s2_fire) 480 val s3_req_instrtype = RegEnable(s2_req.instrtype, s2_fire) 481 val s3_is_prefetch = s3_req_instrtype === DCACHE_PREFETCH_SOURCE.U 482 483 val s3_data128bit = Cat(io.banked_data_resp(1).raw_data, io.banked_data_resp(0).raw_data) 484 val s3_data64bit = Fill(2, io.banked_data_resp(0).raw_data) 485 val s3_banked_data_resp_word = Mux(s3_load128Req, s3_data128bit, s3_data64bit) 486 val s3_data_error = Mux(s3_load128Req, io.read_error_delayed.asUInt.orR, io.read_error_delayed(0)) && s3_hit 487 val s3_tag_error = RegEnable(s2_tag_error, s2_fire) 488 val s3_flag_error = RegEnable(s2_flag_error, s2_fire) 489 val s3_hit_prefetch = RegEnable(s2_hit_prefetch, s2_fire) 490 val s3_error = s3_tag_error || s3_flag_error || s3_data_error 491 492 // error_delayed signal will be used to update uop.exception 1 cycle after load writeback 493 resp.bits.error_delayed := s3_error && (s3_hit || s3_tag_error) && s3_valid 494 resp.bits.data_delayed := s3_banked_data_resp_word 495 resp.bits.replacementUpdated := io.replace_access.valid 496 497 // report tag / data / l2 error (with paddr) to bus error unit 498 io.error := 0.U.asTypeOf(ValidIO(new L1CacheErrorInfo)) 499 io.error.bits.report_to_beu := (s3_tag_error || s3_data_error) && s3_valid 500 io.error.bits.paddr := s3_paddr 501 io.error.bits.source.tag := s3_tag_error 502 io.error.bits.source.data := s3_data_error 503 io.error.bits.source.l2 := s3_flag_error 504 io.error.bits.opType.load := true.B 505 // report tag error / l2 corrupted to CACHE_ERROR csr 506 io.error.valid := s3_error && s3_valid 507 508 // update plru in s3 509 val s3_miss_merged = RegNext(s2_miss_merged) 510 val first_update = RegNext(RegNext(RegNext(!io.lsu.replacementUpdated))) 511 val hit_update_replace_en = RegNext(s2_valid) && RegNext(!resp.bits.miss) 512 val miss_update_replace_en = RegNext(io.miss_req.fire) && RegNext(!io.mq_enq_cancel) && RegNext(io.miss_resp.handled) 513 514 io.replace_access.valid := s3_valid && s3_hit 515 io.replace_access.bits.set := RegNext(RegNext(get_idx(s1_req.vaddr))) 516 io.replace_access.bits.way := RegNext(RegNext(OHToUInt(s1_tag_match_way_dup_dc))) 517 518 // update access bit 519 io.access_flag_write.valid := s3_valid && s3_hit && !s3_is_prefetch 520 io.access_flag_write.bits.idx := get_idx(s3_vaddr) 521 io.access_flag_write.bits.way_en := s3_tag_match_way 522 io.access_flag_write.bits.flag := true.B 523 524 // clear prefetch source when prefetch hit 525 val s3_clear_pf_flag_en = s3_valid && s3_hit && !s3_is_prefetch && isFromL1Prefetch(s3_hit_prefetch) 526 io.prefetch_flag_write.valid := s3_clear_pf_flag_en && !io.counter_filter_query.resp 527 io.prefetch_flag_write.bits.idx := get_idx(s3_vaddr) 528 io.prefetch_flag_write.bits.way_en := s3_tag_match_way 529 io.prefetch_flag_write.bits.source := L1_HW_PREFETCH_NULL 530 531 io.counter_filter_query.req.valid := s3_clear_pf_flag_en 532 io.counter_filter_query.req.bits.idx := get_idx(s3_vaddr) 533 io.counter_filter_query.req.bits.way := OHToUInt(s3_tag_match_way) 534 535 io.counter_filter_enq.valid := io.prefetch_flag_write.valid 536 io.counter_filter_enq.bits.idx := get_idx(s3_vaddr) 537 io.counter_filter_enq.bits.way := OHToUInt(s3_tag_match_way) 538 539 io.prefetch_info.fdp.useful_prefetch := s3_clear_pf_flag_en && !io.counter_filter_query.resp 540 541 XSPerfAccumulate("s3_pf_hit", s3_clear_pf_flag_en) 542 XSPerfAccumulate("s3_pf_hit_filter", s3_clear_pf_flag_en && !io.counter_filter_query.resp) 543 544 // -------------------------------------------------------------------------------- 545 // Debug logging functions 546 def dump_pipeline_reqs(pipeline_stage_name: String, valid: Bool, 547 req: DCacheWordReq ) = { 548 when (valid) { 549 XSDebug(s"$pipeline_stage_name: ") 550 req.dump() 551 } 552 } 553 554 def dump_pipeline_valids(pipeline_stage_name: String, signal_name: String, valid: Bool) = { 555 when (valid) { 556 XSDebug(s"$pipeline_stage_name $signal_name\n") 557 } 558 } 559 560 val load_trace = Wire(new LoadPfDbBundle) 561 val pf_trace = Wire(new LoadPfDbBundle) 562 val miss_trace = Wire(new LoadPfDbBundle) 563 val mshr_trace = Wire(new LoadPfDbBundle) 564 565 load_trace.paddr := get_block_addr(s2_paddr) 566 pf_trace.paddr := get_block_addr(s2_paddr) 567 miss_trace.paddr := get_block_addr(s2_paddr) 568 mshr_trace.paddr := get_block_addr(s2_paddr) 569 570 val table_load = ChiselDB.createTable("LoadTrace" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false) 571 val site_load = "LoadPipe_load" + id.toString 572 table_load.log(load_trace, s2_valid && s2_req.isFirstIssue && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U), site_load, clock, reset) 573 574 val table_pf = ChiselDB.createTable("LoadPfTrace" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false) 575 val site_pf = "LoadPipe_pf" + id.toString 576 table_pf.log(pf_trace, s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U), site_pf, clock, reset) 577 578 val table_miss = ChiselDB.createTable("LoadTraceMiss" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false) 579 val site_load_miss = "LoadPipe_load_miss" + id.toString 580 table_miss.log(miss_trace, s2_valid && s2_req.isFirstIssue && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U) && real_miss, site_load_miss, clock, reset) 581 582 val table_mshr = ChiselDB.createTable("LoadPfMshr" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false) 583 val site_mshr = "LoadPipe_mshr" + id.toString 584 table_mshr.log(mshr_trace, s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && io.miss_req.fire, site_mshr, clock, reset) 585 586 // performance counters 587 XSPerfAccumulate("load_req", io.lsu.req.fire) 588 XSPerfAccumulate("load_s1_kill", s1_fire && io.lsu.s1_kill) 589 XSPerfAccumulate("load_hit_way", s1_fire && s1_tag_match_dup_dc) 590 XSPerfAccumulate("load_replay", io.lsu.resp.fire && resp.bits.replay) 591 XSPerfAccumulate("load_replay_for_dcache_data_nack", io.lsu.resp.fire && resp.bits.replay && s2_nack_data) 592 XSPerfAccumulate("load_replay_for_dcache_no_mshr", io.lsu.resp.fire && resp.bits.replay && s2_nack_no_mshr) 593 XSPerfAccumulate("load_replay_for_dcache_conflict", io.lsu.resp.fire && resp.bits.replay && io.bank_conflict_slow) 594 XSPerfAccumulate("load_replay_for_dcache_wpu_pred_fail", io.lsu.resp.fire && resp.bits.replay && s2_wpu_pred_fail) 595 XSPerfAccumulate("load_hit", io.lsu.resp.fire && !real_miss) 596 XSPerfAccumulate("load_miss", io.lsu.resp.fire && real_miss) 597 XSPerfAccumulate("load_succeed", io.lsu.resp.fire && !resp.bits.miss && !resp.bits.replay) 598 XSPerfAccumulate("load_miss_or_conflict", io.lsu.resp.fire && resp.bits.miss) 599 XSPerfAccumulate("actual_ld_fast_wakeup", s1_fire && s1_tag_match_dup_dc && !io.disable_ld_fast_wakeup) 600 XSPerfAccumulate("ideal_ld_fast_wakeup", io.banked_data_read.fire && s1_tag_match_dup_dc) 601 602 val perfEvents = Seq( 603 ("load_req ", io.lsu.req.fire ), 604 ("load_replay ", io.lsu.resp.fire && resp.bits.replay ), 605 ("load_replay_for_data_nack", io.lsu.resp.fire && resp.bits.replay && s2_nack_data ), 606 ("load_replay_for_no_mshr ", io.lsu.resp.fire && resp.bits.replay && s2_nack_no_mshr ), 607 ("load_replay_for_conflict ", io.lsu.resp.fire && resp.bits.replay && io.bank_conflict_slow ), 608 ) 609 generatePerfEvent() 610} 611