xref: /XiangShan/src/main/scala/xiangshan/cache/dcache/loadpipe/LoadPipe.scala (revision 708ceed4afe43fb0ea3a52407e46b2794c573634)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import freechips.rocketchip.tilelink.ClientMetadata
23import utils.{XSDebug, XSPerfAccumulate}
24
25class LoadPipe(id: Int)(implicit p: Parameters) extends DCacheModule {
26  def metaBits = (new L1Metadata).getWidth
27  def encMetaBits = cacheParams.tagCode.width(metaBits)
28  def getMeta(encMeta: UInt): UInt = {
29    require(encMeta.getWidth == encMetaBits)
30    encMeta(metaBits - 1, 0)
31  }
32
33  val io = IO(new DCacheBundle {
34    // incoming requests
35    val lsu = Flipped(new DCacheLoadIO)
36    // req got nacked in stage 0?
37    val nack      = Input(Bool())
38
39    // meta and data array read port
40    val meta_read = DecoupledIO(new L1MetaReadReq)
41    val meta_resp = Input(Vec(nWays, UInt(encMetaBits.W)))
42    val banked_data_read = DecoupledIO(new L1BankedDataReadReq)
43    val banked_data_resp = Input(Vec(DCacheBanks, new L1BankedDataReadResult()))
44
45    // banked data read conflict
46    val bank_conflict_slow = Input(Bool())
47    val bank_conflict_fast = Input(Bool())
48
49    // send miss request to miss queue
50    val miss_req    = DecoupledIO(new MissReq)
51
52    // update state vec in replacement algo
53    val replace_access = ValidIO(new ReplacementAccessBundle)
54
55    // load fast wakeup should be disabled when data read is not ready
56    val disable_ld_fast_wakeup = Input(Bool())
57  })
58
59  val s1_ready = Wire(Bool())
60  val s2_ready = Wire(Bool())
61  // LSU requests
62  // it you got nacked, you can directly passdown
63  val not_nacked_ready = io.meta_read.ready && s1_ready
64  val nacked_ready     = true.B
65
66  // ready can wait for valid
67  io.lsu.req.ready := (!io.nack && not_nacked_ready) || (io.nack && nacked_ready)
68  io.meta_read.valid := io.lsu.req.fire() && !io.nack
69
70  val meta_read = io.meta_read.bits
71
72  // Tag read for new requests
73  meta_read.idx := get_idx(io.lsu.req.bits.addr)
74  meta_read.way_en := ~0.U(nWays.W)
75  meta_read.tag := DontCare
76
77  // Pipeline
78  // --------------------------------------------------------------------------------
79  // stage 0
80  val s0_valid = io.lsu.req.fire()
81  val s0_req = io.lsu.req.bits
82  val s0_fire = s0_valid && s1_ready
83
84  assert(RegNext(!(s0_valid && s0_req.cmd =/= MemoryOpConstants.M_XRD)), "LoadPipe only accepts load req")
85
86  dump_pipeline_reqs("LoadPipe s0", s0_valid, s0_req)
87
88  // --------------------------------------------------------------------------------
89  // stage 1
90  val s1_valid = RegInit(false.B)
91  val s1_req = RegEnable(s0_req, s0_fire)
92  // in stage 1, load unit gets the physical address
93  val s1_addr = io.lsu.s1_paddr
94  val s1_vaddr = s1_req.addr
95  val s1_bank_oh = UIntToOH(addr_to_dcache_bank(s1_req.addr))
96  val s1_nack = RegNext(io.nack)
97  val s1_fire = s1_valid && s2_ready
98  s1_ready := !s1_valid || s1_fire
99
100  when (s0_fire) { s1_valid := true.B }
101  .elsewhen (s1_fire) { s1_valid := false.B }
102
103  dump_pipeline_reqs("LoadPipe s1", s1_valid, s1_req)
104
105  // tag check
106  val meta_resp = VecInit(io.meta_resp.map(r => getMeta(r).asTypeOf(new L1Metadata)))
107  def wayMap[T <: Data](f: Int => T) = VecInit((0 until nWays).map(f))
108  val s1_tag_eq_way = wayMap((w: Int) => meta_resp(w).tag === (get_tag(s1_addr))).asUInt
109  val s1_tag_match_way = wayMap((w: Int) => s1_tag_eq_way(w) && meta_resp(w).coh.isValid()).asUInt
110  val s1_tag_match = s1_tag_match_way.orR
111  assert(RegNext(PopCount(s1_tag_match_way) <= 1.U), "tag should not match with more than 1 way")
112
113  val s1_fake_meta = Wire(new L1Metadata)
114  s1_fake_meta.tag := get_tag(s1_addr)
115  s1_fake_meta.coh := ClientMetadata.onReset
116
117  // when there are no tag match, we give it a Fake Meta
118  // this simplifies our logic in s2 stage
119  val s1_hit_meta = Mux(s1_tag_match, Mux1H(s1_tag_match_way, wayMap((w: Int) => meta_resp(w))), s1_fake_meta)
120  val s1_hit_coh = s1_hit_meta.coh
121
122  // data read
123  io.banked_data_read.valid := s1_fire && !s1_nack
124  io.banked_data_read.bits.addr := s1_vaddr
125  io.banked_data_read.bits.way_en := s1_tag_match_way
126
127  io.replace_access.valid := RegNext(RegNext(io.meta_read.fire()) && s1_tag_match && s1_valid)
128  io.replace_access.bits.set := RegNext(get_idx(s1_req.addr))
129  io.replace_access.bits.way := RegNext(OHToUInt(s1_tag_match_way))
130
131  // tag ecc check
132  (0 until nWays).foreach(w => assert(!RegNext(s1_valid && s1_tag_match_way(w) && cacheParams.tagCode.decode(io.meta_resp(w)).uncorrectable)))
133
134  // --------------------------------------------------------------------------------
135  // stage 2
136  // val s2_valid = RegEnable(next = s1_valid && !io.lsu.s1_kill, init = false.B, enable = s1_fire)
137  val s2_valid = RegInit(false.B)
138  val s2_req = RegEnable(s1_req, s1_fire)
139  val s2_addr = RegEnable(s1_addr, s1_fire)
140  val s2_vaddr = RegEnable(s1_vaddr, s1_fire)
141  val s2_bank_oh = RegEnable(s1_bank_oh, s1_fire)
142  s2_ready := true.B
143
144  when (s1_fire) { s2_valid := !io.lsu.s1_kill }
145  .elsewhen(io.lsu.resp.fire()) { s2_valid := false.B }
146
147  dump_pipeline_reqs("LoadPipe s2", s2_valid, s2_req)
148
149  // hit, miss, nack, permission checking
150  val s2_tag_match_way = RegEnable(s1_tag_match_way, s1_fire)
151  val s2_tag_match = RegEnable(s1_tag_match, s1_fire)
152
153  val s2_hit_meta = RegEnable(s1_hit_meta, s1_fire)
154  val s2_hit_coh = RegEnable(s1_hit_coh, s1_fire)
155  val s2_has_permission = s2_hit_coh.onAccess(s2_req.cmd)._1
156  val s2_new_hit_coh = s2_hit_coh.onAccess(s2_req.cmd)._3
157
158  val s2_hit = s2_tag_match && s2_has_permission && s2_hit_coh === s2_new_hit_coh
159
160  // when req got nacked, upper levels should replay this request
161  // nacked or not
162  val s2_nack_hit = RegEnable(s1_nack, s1_fire)
163  // can no allocate mshr for load miss
164  val s2_nack_no_mshr = io.miss_req.valid && !io.miss_req.ready
165  // Bank conflict on data arrays
166  val s2_nack_data = RegEnable(!io.banked_data_read.ready, s1_fire)
167  val s2_nack = s2_nack_hit || s2_nack_no_mshr || s2_nack_data
168
169  val banked_data_resp = io.banked_data_resp
170  val s2_bank_addr = addr_to_dcache_bank(s2_addr)
171  val banked_data_resp_word = Mux1H(s2_bank_oh, io.banked_data_resp) // io.banked_data_resp(s2_bank_addr)
172  dontTouch(s2_bank_addr)
173
174  // only dump these signals when they are actually valid
175  dump_pipeline_valids("LoadPipe s2", "s2_hit", s2_valid && s2_hit)
176  dump_pipeline_valids("LoadPipe s2", "s2_nack", s2_valid && s2_nack)
177  dump_pipeline_valids("LoadPipe s2", "s2_nack_hit", s2_valid && s2_nack_hit)
178  dump_pipeline_valids("LoadPipe s2", "s2_nack_no_mshr", s2_valid && s2_nack_no_mshr)
179
180  // send load miss to miss queue
181  io.miss_req.valid := s2_valid && !s2_nack_hit && !s2_nack_data && !s2_hit
182  io.miss_req.bits := DontCare
183  io.miss_req.bits.source := LOAD_SOURCE.U
184  io.miss_req.bits.cmd := s2_req.cmd
185  io.miss_req.bits.addr := get_block_addr(s2_addr)
186  io.miss_req.bits.vaddr := s2_vaddr
187  io.miss_req.bits.coh := s2_hit_coh
188
189  // send back response
190  val resp = Wire(ValidIO(new DCacheWordResp))
191  resp.valid := s2_valid
192  resp.bits := DontCare
193  // resp.bits.data := s2_word_decoded
194  resp.bits.data := banked_data_resp_word.raw_data
195  // * on miss or nack, upper level should replay request
196  // but if we successfully sent the request to miss queue
197  // upper level does not need to replay request
198  // they can sit in load queue and wait for refill
199  //
200  // * report a miss if bank conflict is detected
201  val real_miss = !s2_hit || s2_nack
202  resp.bits.miss := real_miss || io.bank_conflict_slow
203  if (id == 0) {
204    // load pipe 0 will not be influenced by bank conflict
205    resp.bits.replay := resp.bits.miss && (!io.miss_req.fire() || s2_nack)
206  } else {
207    // load pipe 1 need replay when there is a bank conflict
208    resp.bits.replay := resp.bits.miss && (!io.miss_req.fire() || s2_nack) || io.bank_conflict_slow
209    XSPerfAccumulate("dcache_read_bank_conflict", io.bank_conflict_slow && s2_valid)
210  }
211
212  io.lsu.resp.valid := resp.valid
213  io.lsu.resp.bits := resp.bits
214  assert(RegNext(!(resp.valid && !io.lsu.resp.ready)), "lsu should be ready in s2")
215
216  when (resp.valid) {
217    resp.bits.dump()
218  }
219
220  io.lsu.s1_hit_way := s1_tag_match_way
221  io.lsu.s1_disable_fast_wakeup := io.disable_ld_fast_wakeup
222  assert(RegNext(s1_ready && s2_ready), "load pipeline should never be blocked")
223
224  // -------
225  // Debug logging functions
226  def dump_pipeline_reqs(pipeline_stage_name: String, valid: Bool,
227    req: DCacheWordReq ) = {
228      when (valid) {
229        XSDebug(s"$pipeline_stage_name: ")
230        req.dump()
231      }
232  }
233
234  def dump_pipeline_valids(pipeline_stage_name: String, signal_name: String, valid: Bool) = {
235    when (valid) {
236      XSDebug(s"$pipeline_stage_name $signal_name\n")
237    }
238  }
239
240  // performance counters
241  XSPerfAccumulate("load_req", io.lsu.req.fire())
242  XSPerfAccumulate("load_s1_kill", s1_fire && io.lsu.s1_kill)
243  XSPerfAccumulate("load_hit_way", s1_fire && s1_tag_match)
244  XSPerfAccumulate("load_replay", io.lsu.resp.fire() && resp.bits.replay)
245  XSPerfAccumulate("load_replay_for_data_nack", io.lsu.resp.fire() && resp.bits.replay && s2_nack_data)
246  XSPerfAccumulate("load_replay_for_no_mshr", io.lsu.resp.fire() && resp.bits.replay && s2_nack_no_mshr)
247  XSPerfAccumulate("load_replay_for_conflict", io.lsu.resp.fire() && resp.bits.replay && io.bank_conflict_slow)
248  XSPerfAccumulate("load_hit", io.lsu.resp.fire() && !real_miss)
249  XSPerfAccumulate("load_miss", io.lsu.resp.fire() && real_miss)
250  XSPerfAccumulate("load_succeed", io.lsu.resp.fire() && !resp.bits.miss)
251  XSPerfAccumulate("load_miss_or_conflict", io.lsu.resp.fire() && resp.bits.miss)
252  XSPerfAccumulate("actual_ld_fast_wakeup", s1_fire && s1_tag_match && !io.disable_ld_fast_wakeup)
253  XSPerfAccumulate("ideal_ld_fast_wakeup", io.banked_data_read.fire() && s1_tag_match)
254}
255