xref: /XiangShan/src/main/scala/xiangshan/cache/dcache/loadpipe/LoadPipe.scala (revision 68de2c3d93763015ac0793019cd4f8dba6f3bbad)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import freechips.rocketchip.tilelink.ClientMetadata
23import utils.{HasPerfEvents, XSDebug, XSPerfAccumulate}
24import utility.{ParallelPriorityMux, OneHot, ChiselDB, ParallelORR, ParallelMux}
25import xiangshan.{XSCoreParamsKey, L1CacheErrorInfo}
26import xiangshan.cache.wpu._
27import xiangshan.mem.HasL1PrefetchSourceParameter
28import xiangshan.mem.prefetch._
29
30class LoadPfDbBundle(implicit p: Parameters) extends DCacheBundle {
31  val paddr = UInt(PAddrBits.W)
32}
33
34class LoadPipe(id: Int)(implicit p: Parameters) extends DCacheModule with HasPerfEvents with HasL1PrefetchSourceParameter {
35  val io = IO(new DCacheBundle {
36    // incoming requests
37    val lsu = Flipped(new DCacheLoadIO)
38    val dwpu = Flipped(new DwpuBaseIO(nWays = nWays, nPorts = 1))
39    val load128Req = Input(Bool())
40    // req got nacked in stage 0?
41    val nack      = Input(Bool())
42
43    // meta and data array read port
44    val meta_read = DecoupledIO(new MetaReadReq)
45    val meta_resp = Input(Vec(nWays, new Meta))
46    val extra_meta_resp = Input(Vec(nWays, new DCacheExtraMeta))
47
48    val tag_read = DecoupledIO(new TagReadReq)
49    val tag_resp = Input(Vec(nWays, UInt(encTagBits.W)))
50    val vtag_update = Flipped(DecoupledIO(new TagWriteReq))
51
52    val banked_data_read = DecoupledIO(new L1BankedDataReadReqWithMask)
53    val is128Req = Output(Bool())
54    val banked_data_resp = Input(Vec(VLEN/DCacheSRAMRowBits, new L1BankedDataReadResult()))
55    val read_error_delayed = Input(Vec(VLEN/DCacheSRAMRowBits, Bool()))
56
57    // access bit update
58    val access_flag_write = DecoupledIO(new FlagMetaWriteReq)
59    val prefetch_flag_write = DecoupledIO(new SourceMetaWriteReq)
60
61    // banked data read conflict
62    val bank_conflict_slow = Input(Bool())
63
64    // send miss request to miss queue
65    val miss_req    = DecoupledIO(new MissReq)
66    val miss_resp   = Input(new MissResp)
67
68    // update state vec in replacement algo
69    val replace_access = ValidIO(new ReplacementAccessBundle)
70    // find the way to be replaced
71    val replace_way = new ReplacementWayReqIO
72
73    // load fast wakeup should be disabled when data read is not ready
74    val disable_ld_fast_wakeup = Input(Bool())
75
76    // ecc error
77    val error = Output(new L1CacheErrorInfo())
78
79    val prefetch_info = new Bundle {
80      val naive = new Bundle {
81        val total_prefetch = Output(Bool())
82        val late_hit_prefetch = Output(Bool())
83        val late_prefetch_hit = Output(Bool())
84        val late_load_hit = Output(Bool())
85        val useless_prefetch = Output(Bool())
86        val useful_prefetch = Output(Bool())
87        val prefetch_hit = Output(Bool())
88      }
89
90      val fdp = new Bundle {
91        val useful_prefetch = Output(Bool())
92        val demand_miss = Output(Bool())
93        val pollution = Output(Bool())
94      }
95    }
96
97    val bloom_filter_query = new Bundle {
98      val query = ValidIO(new BloomQueryBundle(BLOOM_FILTER_ENTRY_NUM))
99      val resp = Flipped(ValidIO(new BloomRespBundle()))
100    }
101
102    val counter_filter_query = new CounterFilterQueryBundle
103    val counter_filter_enq = new ValidIO(new CounterFilterDataBundle())
104
105    // miss queue cancel the miss request
106    val mq_enq_cancel = Input(Bool())
107  })
108
109  assert(RegNext(io.meta_read.ready))
110
111  val s1_ready = Wire(Bool())
112  val s2_ready = Wire(Bool())
113  // LSU requests
114  // it you got nacked, you can directly passdown
115  val not_nacked_ready = io.meta_read.ready && io.tag_read.ready && s1_ready
116  val nacked_ready     = true.B
117
118  // Pipeline
119  // --------------------------------------------------------------------------------
120  // stage 0
121  // --------------------------------------------------------------------------------
122  // read tag
123
124  // ready can wait for valid
125  io.lsu.req.ready := (!io.nack && not_nacked_ready) || (io.nack && nacked_ready)
126  io.meta_read.valid := io.lsu.req.fire && !io.nack
127  io.tag_read.valid := io.lsu.req.fire && !io.nack
128
129  val s0_valid = io.lsu.req.fire
130  val s0_req = io.lsu.req.bits
131  val s0_fire = s0_valid && s1_ready
132  val s0_vaddr = s0_req.vaddr
133  val s0_replayCarry = s0_req.replayCarry
134  val s0_load128Req = io.load128Req
135  val s0_bank_oh_64 = UIntToOH(addr_to_dcache_bank(s0_vaddr))
136  val s0_bank_oh_128 = (s0_bank_oh_64 << 1.U).asUInt | s0_bank_oh_64.asUInt
137  val s0_bank_oh = Mux(s0_load128Req, s0_bank_oh_128, s0_bank_oh_64)
138  assert(RegNext(!(s0_valid && (s0_req.cmd =/= MemoryOpConstants.M_XRD && s0_req.cmd =/= MemoryOpConstants.M_PFR && s0_req.cmd =/= MemoryOpConstants.M_PFW))), "LoadPipe only accepts load req / softprefetch read or write!")
139  dump_pipeline_reqs("LoadPipe s0", s0_valid, s0_req)
140
141  // wpu
142  // val dwpu = Module(new DCacheWpuWrapper)
143  // req in s0
144  if(dwpuParam.enWPU){
145    io.dwpu.req(0).bits.vaddr := s0_vaddr
146    io.dwpu.req(0).bits.replayCarry := s0_replayCarry
147    io.dwpu.req(0).valid := s0_valid
148  }else{
149    io.dwpu.req(0).valid := false.B
150    io.dwpu.req(0).bits := DontCare
151  }
152
153
154  val meta_read = io.meta_read.bits
155  val tag_read = io.tag_read.bits
156
157  // Tag read for new requests
158  meta_read.idx := get_idx(io.lsu.req.bits.vaddr)
159  meta_read.way_en := ~0.U(nWays.W)
160  // meta_read.tag := DontCare
161
162  tag_read.idx := get_idx(io.lsu.req.bits.vaddr)
163  tag_read.way_en := ~0.U(nWays.W)
164
165  // --------------------------------------------------------------------------------
166  // stage 1
167  // --------------------------------------------------------------------------------
168  // tag match, read data
169
170  val s1_valid = RegInit(false.B)
171  val s1_req = RegEnable(s0_req, s0_fire)
172  // in stage 1, load unit gets the physical address
173  val s1_paddr_dup_lsu = io.lsu.s1_paddr_dup_lsu
174  val s1_paddr_dup_dcache = io.lsu.s1_paddr_dup_dcache
175  val s1_load128Req = RegEnable(s0_load128Req, s0_fire)
176  // LSU may update the address from io.lsu.s1_paddr, which affects the bank read enable only.
177  val s1_vaddr = Cat(s1_req.vaddr(VAddrBits - 1, blockOffBits), io.lsu.s1_paddr_dup_lsu(blockOffBits - 1, 0))
178  val s1_bank_oh = RegEnable(s0_bank_oh, s0_fire)
179  val s1_nack = RegNext(io.nack)
180  val s1_nack_data = !io.banked_data_read.ready
181  val s1_fire = s1_valid && s2_ready
182  s1_ready := !s1_valid || s1_fire
183
184  when (s0_fire) { s1_valid := true.B }
185  .elsewhen (s1_fire) { s1_valid := false.B }
186
187  dump_pipeline_reqs("LoadPipe s1", s1_valid, s1_req)
188
189  // tag check
190  val meta_resp = io.meta_resp
191  val tag_resp = io.tag_resp.map(r => r(tagBits - 1, 0))
192  def wayMap[T <: Data](f: Int => T) = VecInit((0 until nWays).map(f))
193
194  // resp in s1
195  val s1_tag_match_way_dup_dc = wayMap((w: Int) => tag_resp(w) === get_tag(s1_paddr_dup_dcache) && meta_resp(w).coh.isValid()).asUInt
196  val s1_tag_match_way_dup_lsu = wayMap((w: Int) => tag_resp(w) === get_tag(s1_paddr_dup_lsu) && meta_resp(w).coh.isValid()).asUInt
197  val s1_wpu_pred_valid = RegEnable(io.dwpu.resp(0).valid, s0_fire)
198  val s1_wpu_pred_way_en = RegEnable(io.dwpu.resp(0).bits.s0_pred_way_en, s0_fire)
199
200  // lookup update
201  io.dwpu.lookup_upd(0).valid := s1_valid
202  io.dwpu.lookup_upd(0).bits.vaddr := s1_vaddr
203  io.dwpu.lookup_upd(0).bits.s1_real_way_en := s1_tag_match_way_dup_dc
204  io.dwpu.lookup_upd(0).bits.s1_pred_way_en := s1_wpu_pred_way_en
205  // replace / tag write
206  io.vtag_update.ready := true.B
207  // dwpu.io.tagwrite_upd.valid := io.vtag_update.valid
208  // dwpu.io.tagwrite_upd.bits.vaddr := io.vtag_update.bits.vaddr
209  // dwpu.io.tagwrite_upd.bits.s1_real_way_en := io.vtag_update.bits.way_en
210
211  val s1_direct_map_way_num = get_direct_map_way(s1_req.vaddr)
212  if(dwpuParam.enCfPred || !env.FPGAPlatform){
213    /* method1: record the pc */
214    // if (!env.FPGAPlatform){
215    //    io.dwpu.cfpred(0).s0_vaddr := io.lsu.s0_pc
216    //    io.dwpu.cfpred(0).s1_vaddr := io.lsu.s1_pc
217    // }
218
219    /* method2: record the vaddr */
220    io.dwpu.cfpred(0).s0_vaddr := s0_vaddr
221    io.dwpu.cfpred(0).s1_vaddr := s1_vaddr
222    // whether direct_map_way miss with valid tag value
223    io.dwpu.cfpred(0).s1_dm_hit := wayMap((w: Int) => w.U === s1_direct_map_way_num && tag_resp(w) === get_tag(s1_paddr_dup_lsu) && meta_resp(w).coh.isValid()).asUInt.orR
224  }else{
225    io.dwpu.cfpred(0) := DontCare
226  }
227
228  val s1_pred_tag_match_way_dup_dc = Wire(UInt(nWays.W))
229  val s1_wpu_pred_fail = Wire(Bool())
230  val s1_wpu_pred_fail_and_real_hit = Wire(Bool())
231  if (dwpuParam.enWPU) {
232    when(s1_wpu_pred_valid) {
233      s1_pred_tag_match_way_dup_dc := s1_wpu_pred_way_en
234    }.otherwise {
235      s1_pred_tag_match_way_dup_dc := s1_tag_match_way_dup_dc
236    }
237    s1_wpu_pred_fail := s1_valid && s1_tag_match_way_dup_dc =/= s1_pred_tag_match_way_dup_dc
238    s1_wpu_pred_fail_and_real_hit := s1_wpu_pred_fail && s1_tag_match_way_dup_dc.orR
239  } else {
240    s1_pred_tag_match_way_dup_dc := s1_tag_match_way_dup_dc
241    s1_wpu_pred_fail := false.B
242    s1_wpu_pred_fail_and_real_hit := false.B
243  }
244
245  val s1_tag_match_dup_dc = ParallelORR(s1_tag_match_way_dup_dc)
246  val s1_tag_match_dup_lsu = ParallelORR(s1_tag_match_way_dup_lsu)
247  assert(RegNext(!s1_valid || PopCount(s1_tag_match_way_dup_dc) <= 1.U), "tag should not match with more than 1 way")
248
249  // when there are no tag match, we give it a Fake Meta
250  // this simplifies our logic in s2 stage
251  val s1_hit_meta = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => meta_resp(w)))
252  val s1_hit_coh = s1_hit_meta.coh
253  val s1_hit_error = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).error))
254  val s1_hit_prefetch = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).prefetch))
255  val s1_hit_access = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).access))
256
257  io.replace_way.set.valid := RegNext(s0_fire)
258  io.replace_way.set.bits := get_idx(s1_vaddr)
259  io.replace_way.dmWay := get_direct_map_way(s1_vaddr)
260  val s1_invalid_vec = wayMap(w => !meta_resp(w).coh.isValid())
261  val s1_have_invalid_way = s1_invalid_vec.asUInt.orR
262  val s1_invalid_way_en = ParallelPriorityMux(s1_invalid_vec.zipWithIndex.map(x => x._1 -> UIntToOH(x._2.U(nWays.W))))
263  val s1_repl_way_en_oh = Mux(s1_have_invalid_way, s1_invalid_way_en, UIntToOH(io.replace_way.way))
264  val s1_repl_way_en_enc = OHToUInt(s1_repl_way_en_oh)
265  val s1_repl_tag = ParallelMux(s1_repl_way_en_oh.asBools, (0 until nWays).map(w => tag_resp(w)))
266  val s1_repl_coh = ParallelMux(s1_repl_way_en_oh.asBools, (0 until nWays).map(w => meta_resp(w).coh))
267  val s1_repl_prefetch = ParallelMux(s1_repl_way_en_oh.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).prefetch))
268  val s1_repl_extra_meta = ParallelMux(s1_repl_way_en_oh.asBools, (0 until nWays).map(w => io.extra_meta_resp(w)))
269
270  val s1_need_replacement = !s1_tag_match_dup_dc
271  val s1_way_en = Mux(s1_need_replacement, s1_repl_way_en_oh, s1_tag_match_way_dup_dc)
272  val s1_coh = Mux(s1_need_replacement, s1_repl_coh, s1_hit_coh)
273  val s1_tag = Mux(s1_need_replacement, s1_repl_tag, get_tag(s1_paddr_dup_dcache))
274
275  XSPerfAccumulate("load_has_invalid_way_but_select_valid_way", io.replace_way.set.valid && wayMap(w => !meta_resp(w).coh.isValid()).asUInt.orR && s1_need_replacement && s1_repl_coh.isValid())
276  XSPerfAccumulate("load_using_replacement", io.replace_way.set.valid && s1_need_replacement)
277
278  // data read
279  io.banked_data_read.valid := s1_fire && !s1_nack
280  io.banked_data_read.bits.addr := s1_vaddr
281  io.banked_data_read.bits.way_en := s1_pred_tag_match_way_dup_dc
282  io.banked_data_read.bits.bankMask := s1_bank_oh
283  io.is128Req := s1_load128Req
284
285  // query bloom filter
286  io.bloom_filter_query.query.valid := s1_valid
287  io.bloom_filter_query.query.bits.addr := io.bloom_filter_query.query.bits.get_addr(s1_paddr_dup_dcache)
288
289  // get s1_will_send_miss_req in lpad_s1
290  val s1_has_permission = s1_hit_coh.onAccess(s1_req.cmd)._1
291  val s1_new_hit_coh = s1_hit_coh.onAccess(s1_req.cmd)._3
292  val s1_hit = s1_tag_match_dup_dc && s1_has_permission && s1_hit_coh === s1_new_hit_coh
293  val s1_will_send_miss_req = s1_valid && !s1_nack && !s1_nack_data && !s1_hit
294
295  // check ecc error
296  val s1_encTag = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.tag_resp(w)))
297  val s1_flag_error = Mux(s1_need_replacement, false.B, s1_hit_error) // error reported by exist dcache error bit
298
299  // --------------------------------------------------------------------------------
300  // stage 2
301  // --------------------------------------------------------------------------------
302  // return data
303
304  // val s2_valid = RegEnable(next = s1_valid && !io.lsu.s1_kill, init = false.B, enable = s1_fire)
305  val s2_valid = RegInit(false.B)
306  val s2_req = RegEnable(s1_req, s1_fire)
307  val s2_load128Req = RegEnable(s1_load128Req, s1_fire)
308  val s2_paddr = RegEnable(s1_paddr_dup_dcache, s1_fire)
309  val s2_vaddr = RegEnable(s1_vaddr, s1_fire)
310  val s2_bank_oh = RegEnable(s1_bank_oh, s1_fire)
311  val s2_bank_oh_dup_0 = RegEnable(s1_bank_oh, s1_fire)
312  val s2_wpu_pred_fail = RegEnable(s1_wpu_pred_fail, s1_fire)
313  val s2_real_way_en = RegEnable(s1_tag_match_way_dup_dc, s1_fire)
314  val s2_pred_way_en = RegEnable(s1_pred_tag_match_way_dup_dc, s1_fire)
315  val s2_dm_way_num = RegEnable(s1_direct_map_way_num, s1_fire)
316  val s2_wpu_pred_fail_and_real_hit = RegEnable(s1_wpu_pred_fail_and_real_hit, s1_fire)
317
318  s2_ready := true.B
319
320  val s2_fire = s2_valid
321
322  when (s1_fire) { s2_valid := !io.lsu.s1_kill }
323  .elsewhen(io.lsu.resp.fire) { s2_valid := false.B }
324
325  dump_pipeline_reqs("LoadPipe s2", s2_valid, s2_req)
326
327
328  // hit, miss, nack, permission checking
329  // dcache side tag match
330  val s2_tag_match_way = RegEnable(s1_tag_match_way_dup_dc, s1_fire)
331  val s2_tag_match = RegEnable(s1_tag_match_dup_dc, s1_fire)
332
333  // lsu side tag match
334  val s2_hit_dup_lsu = RegNext(s1_tag_match_dup_lsu)
335
336  io.lsu.s2_hit := s2_hit_dup_lsu && !s2_wpu_pred_fail
337
338  val s2_hit_meta = RegEnable(s1_hit_meta, s1_fire)
339  val s2_hit_coh = RegEnable(s1_hit_coh, s1_fire)
340  val s2_has_permission = s2_hit_coh.onAccess(s2_req.cmd)._1 // for write prefetch
341  val s2_new_hit_coh = s2_hit_coh.onAccess(s2_req.cmd)._3 // for write prefetch
342
343  val s2_way_en = RegEnable(s1_way_en, s1_fire)
344  val s2_repl_coh = RegEnable(s1_repl_coh, s1_fire)
345  val s2_repl_tag = RegEnable(s1_repl_tag, s1_fire)
346  val s2_repl_extra_meta = RegEnable(s1_repl_extra_meta, s1_fire) // not used for now
347  val s2_repl_prefetch = RegEnable(s1_repl_prefetch, s1_fire)
348  val s2_encTag = RegEnable(s1_encTag, s1_fire)
349
350  // when req got nacked, upper levels should replay this request
351  // nacked or not
352  val s2_nack_hit = RegEnable(s1_nack, s1_fire)
353  // can no allocate mshr for load miss
354  val s2_nack_no_mshr = io.miss_req.valid && !io.miss_req.ready
355  // Bank conflict on data arrays
356  val s2_nack_data = RegEnable(!io.banked_data_read.ready, s1_fire)
357  val s2_nack = s2_nack_hit || s2_nack_no_mshr || s2_nack_data
358  // s2 miss merged
359  val s2_miss_merged = io.miss_req.fire && !io.mq_enq_cancel && io.miss_resp.merged
360
361  val s2_bank_addr = addr_to_dcache_bank(s2_paddr)
362  dontTouch(s2_bank_addr)
363
364  val s2_instrtype = s2_req.instrtype
365
366  val s2_tag_error = dcacheParameters.tagCode.decode(s2_encTag).error // error reported by tag ecc check
367  val s2_flag_error = RegEnable(s1_flag_error, s1_fire)
368
369  val s2_hit_prefetch = RegEnable(s1_hit_prefetch, s1_fire)
370  val s2_hit_access = RegEnable(s1_hit_access, s1_fire)
371
372  val s2_hit = s2_tag_match && s2_has_permission && s2_hit_coh === s2_new_hit_coh && !s2_wpu_pred_fail
373
374  // only dump these signals when they are actually valid
375  dump_pipeline_valids("LoadPipe s2", "s2_hit", s2_valid && s2_hit)
376  dump_pipeline_valids("LoadPipe s2", "s2_nack", s2_valid && s2_nack)
377  dump_pipeline_valids("LoadPipe s2", "s2_nack_hit", s2_valid && s2_nack_hit)
378  dump_pipeline_valids("LoadPipe s2", "s2_nack_no_mshr", s2_valid && s2_nack_no_mshr)
379
380  val s2_can_send_miss_req = RegEnable(s1_will_send_miss_req, s1_fire)
381
382  // send load miss to miss queue
383  io.miss_req.valid := s2_valid && s2_can_send_miss_req
384  io.miss_req.bits := DontCare
385  io.miss_req.bits.source := s2_instrtype
386  io.miss_req.bits.pf_source := RegNext(RegNext(io.lsu.pf_source))
387  io.miss_req.bits.cmd := s2_req.cmd
388  io.miss_req.bits.addr := get_block_addr(s2_paddr)
389  io.miss_req.bits.vaddr := s2_vaddr
390  io.miss_req.bits.way_en := s2_way_en
391  io.miss_req.bits.req_coh := s2_hit_coh
392  io.miss_req.bits.replace_coh := s2_repl_coh
393  io.miss_req.bits.replace_tag := s2_repl_tag
394  io.miss_req.bits.replace_pf := s2_repl_prefetch
395  io.miss_req.bits.cancel := io.lsu.s2_kill || s2_tag_error
396  io.miss_req.bits.pc := io.lsu.s2_pc
397
398  // send back response
399  val resp = Wire(ValidIO(new DCacheWordResp))
400  resp.valid := s2_valid
401  resp.bits := DontCare
402  // resp.bits.data := s2_word_decoded
403  // resp.bits.data := banked_data_resp_word.raw_data
404  // * on miss or nack, upper level should replay request
405  // but if we successfully sent the request to miss queue
406  // upper level does not need to replay request
407  // they can sit in load queue and wait for refill
408  //
409  // * report a miss if bank conflict is detected
410  val real_miss = !s2_real_way_en.orR
411
412  resp.bits.real_miss := real_miss
413  resp.bits.miss := real_miss
414  io.lsu.s2_first_hit := s2_req.isFirstIssue && s2_hit
415  // load pipe need replay when there is a bank conflict or wpu predict fail
416  resp.bits.replay := DontCare
417  resp.bits.replayCarry.valid := (resp.bits.miss && (!io.miss_req.fire || s2_nack || io.mq_enq_cancel)) || io.bank_conflict_slow || s2_wpu_pred_fail
418  resp.bits.replayCarry.real_way_en := s2_real_way_en
419  resp.bits.meta_prefetch := s2_hit_prefetch
420  resp.bits.meta_access := s2_hit_access
421  resp.bits.tag_error := s2_tag_error // report tag_error in load s2
422  resp.bits.mshr_id := io.miss_resp.id
423  resp.bits.handled := io.miss_req.fire && !io.mq_enq_cancel && io.miss_resp.handled
424  resp.bits.debug_robIdx := s2_req.debug_robIdx
425  // debug info
426  io.lsu.s2_first_hit := s2_req.isFirstIssue && s2_hit
427  io.lsu.debug_s2_real_way_num := OneHot.OHToUIntStartOne(s2_real_way_en)
428  if(dwpuParam.enWPU) {
429    io.lsu.debug_s2_pred_way_num := OneHot.OHToUIntStartOne(s2_pred_way_en)
430  }else{
431    io.lsu.debug_s2_pred_way_num := 0.U
432  }
433  if(dwpuParam.enWPU && dwpuParam.enCfPred || !env.FPGAPlatform){
434    io.lsu.debug_s2_dm_way_num :=  s2_dm_way_num + 1.U
435  }else{
436    io.lsu.debug_s2_dm_way_num := 0.U
437  }
438
439
440  XSPerfAccumulate("dcache_read_bank_conflict", io.bank_conflict_slow && s2_valid)
441  XSPerfAccumulate("dcache_read_from_prefetched_line", s2_valid && isFromL1Prefetch(s2_hit_prefetch) && !resp.bits.miss)
442  XSPerfAccumulate("dcache_first_read_from_prefetched_line", s2_valid && isFromL1Prefetch(s2_hit_prefetch) && !resp.bits.miss && !s2_hit_access)
443
444  // if ldu0 and ldu1 hit the same, count for 1
445  val total_prefetch = s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U)
446  val late_hit_prefetch = s2_valid && s2_hit && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U)
447  val late_load_hit = s2_valid && s2_hit && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && !isFromL1Prefetch(s2_hit_prefetch)
448  val late_prefetch_hit = s2_valid && s2_hit && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && isFromL1Prefetch(s2_hit_prefetch)
449  val useless_prefetch = io.miss_req.valid && io.miss_req.ready && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U)
450  val useful_prefetch = s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && resp.bits.handled && !io.miss_resp.merged
451
452  val prefetch_hit = s2_valid && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U) && s2_hit && isFromL1Prefetch(s2_hit_prefetch) && s2_req.isFirstIssue
453
454  io.prefetch_info.naive.total_prefetch := total_prefetch
455  io.prefetch_info.naive.late_hit_prefetch := late_hit_prefetch
456  io.prefetch_info.naive.late_load_hit := late_load_hit
457  io.prefetch_info.naive.late_prefetch_hit := late_prefetch_hit
458  io.prefetch_info.naive.useless_prefetch := useless_prefetch
459  io.prefetch_info.naive.useful_prefetch := useful_prefetch
460  io.prefetch_info.naive.prefetch_hit := prefetch_hit
461
462  io.prefetch_info.fdp.demand_miss := s2_valid && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U) && !s2_hit && s2_req.isFirstIssue
463  io.prefetch_info.fdp.pollution := io.prefetch_info.fdp.demand_miss && io.bloom_filter_query.resp.valid && io.bloom_filter_query.resp.bits.res
464
465  io.lsu.resp.valid := resp.valid
466  io.lsu.resp.bits := resp.bits
467  assert(RegNext(!(resp.valid && !io.lsu.resp.ready)), "lsu should be ready in s2")
468
469  when (resp.valid) {
470    resp.bits.dump()
471  }
472
473  io.lsu.debug_s1_hit_way := s1_tag_match_way_dup_dc
474  io.lsu.s1_disable_fast_wakeup := io.disable_ld_fast_wakeup
475  io.lsu.s2_bank_conflict := io.bank_conflict_slow
476  io.lsu.s2_wpu_pred_fail := s2_wpu_pred_fail_and_real_hit
477  io.lsu.s2_mq_nack       := (resp.bits.miss && (!io.miss_req.fire || s2_nack || io.mq_enq_cancel))
478  assert(RegNext(s1_ready && s2_ready), "load pipeline should never be blocked")
479
480  // --------------------------------------------------------------------------------
481  // stage 3
482  // --------------------------------------------------------------------------------
483  // report ecc error and get selected dcache data
484
485  val s3_valid = RegNext(s2_valid)
486  val s3_load128Req = RegEnable(s2_load128Req, s2_fire)
487  val s3_vaddr = RegEnable(s2_vaddr, s2_fire)
488  val s3_paddr = RegEnable(s2_paddr, s2_fire)
489  val s3_hit = RegEnable(s2_hit, s2_fire)
490  val s3_tag_match_way = RegEnable(s2_tag_match_way, s2_fire)
491  val s3_req_instrtype = RegEnable(s2_req.instrtype, s2_fire)
492  val s3_is_prefetch = s3_req_instrtype === DCACHE_PREFETCH_SOURCE.U
493
494  val s3_data128bit = Cat(io.banked_data_resp(1).raw_data, io.banked_data_resp(0).raw_data)
495  val s3_data64bit = Fill(2, io.banked_data_resp(0).raw_data)
496  val s3_banked_data_resp_word = Mux(s3_load128Req, s3_data128bit, s3_data64bit)
497  val s3_data_error = Mux(s3_load128Req, io.read_error_delayed.asUInt.orR, io.read_error_delayed(0)) && s3_hit
498  val s3_tag_error = RegEnable(s2_tag_error, s2_fire)
499  val s3_flag_error = RegEnable(s2_flag_error, s2_fire)
500  val s3_hit_prefetch = RegEnable(s2_hit_prefetch, s2_fire)
501  val s3_error = s3_tag_error || s3_flag_error || s3_data_error
502
503  // error_delayed signal will be used to update uop.exception 1 cycle after load writeback
504  resp.bits.error_delayed := s3_error && (s3_hit || s3_tag_error) && s3_valid
505  resp.bits.data_delayed := s3_banked_data_resp_word
506  resp.bits.replacementUpdated := io.replace_access.valid
507
508  // report tag / data / l2 error (with paddr) to bus error unit
509  io.error := 0.U.asTypeOf(new L1CacheErrorInfo())
510  io.error.report_to_beu := (s3_tag_error || s3_data_error) && s3_valid
511  io.error.paddr := s3_paddr
512  io.error.source.tag := s3_tag_error
513  io.error.source.data := s3_data_error
514  io.error.source.l2 := s3_flag_error
515  io.error.opType.load := true.B
516  // report tag error / l2 corrupted to CACHE_ERROR csr
517  io.error.valid := s3_error && s3_valid
518
519  // update plru in s3
520  val s3_miss_merged = RegNext(s2_miss_merged)
521  val first_update = RegNext(RegNext(RegNext(!io.lsu.replacementUpdated)))
522  val hit_update_replace_en  = RegNext(s2_valid) && RegNext(!resp.bits.miss)
523  val miss_update_replace_en = RegNext(io.miss_req.fire) && RegNext(!io.mq_enq_cancel) && RegNext(io.miss_resp.handled)
524
525  if (!cfg.updateReplaceOn2ndmiss) {
526    // replacement is only updated on 1st miss
527    // io.replace_access.valid := RegNext(RegNext(
528    //   RegNext(io.meta_read.fire) && s1_valid && !io.lsu.s1_kill) &&
529    //   !s2_nack_no_mshr &&
530    //   !s2_miss_merged
531    // )
532    io.replace_access.valid := s3_valid && RegNext(!s2_miss_merged)
533    io.replace_access.bits.set := RegNext(RegNext(get_idx(s1_req.vaddr)))
534    io.replace_access.bits.way := RegNext(RegNext(Mux(s1_tag_match_dup_dc, OHToUInt(s1_tag_match_way_dup_dc), s1_repl_way_en_enc)))
535  } else {
536    // replacement is updated on both 1st and 2nd miss
537    // timing is worse than !cfg.updateReplaceOn2ndmiss
538    // io.replace_access.valid := RegNext(RegNext(
539    //   RegNext(io.meta_read.fire) && s1_valid && !io.lsu.s1_kill) &&
540    //   !s2_nack_no_mshr &&
541    //   // replacement is updated on 2nd miss only when this req is firstly issued
542    //   (!s2_miss_merged || s2_req.isFirstIssue)
543    // )
544    io.replace_access.valid := s3_valid
545    io.replace_access.bits.set := RegNext(RegNext(get_idx(s1_req.vaddr)))
546    io.replace_access.bits.way := RegNext(
547      Mux(
548        RegNext(s1_tag_match_dup_dc),
549        RegNext(OHToUInt(s1_tag_match_way_dup_dc)), // if hit, access hit way in plru
550        Mux( // if miss
551          !s2_miss_merged,
552          RegNext(s1_repl_way_en_enc), // 1st fire: access new selected replace way
553          OHToUInt(io.miss_resp.repl_way_en) // 2nd fire: access replace way selected at miss queue allocate time
554        )
555      )
556    )
557  }
558
559  // update access bit
560  io.access_flag_write.valid := s3_valid && s3_hit && !s3_is_prefetch
561  io.access_flag_write.bits.idx := get_idx(s3_vaddr)
562  io.access_flag_write.bits.way_en := s3_tag_match_way
563  io.access_flag_write.bits.flag := true.B
564
565  // clear prefetch source when prefetch hit
566  val s3_clear_pf_flag_en = s3_valid && s3_hit && !s3_is_prefetch && isFromL1Prefetch(s3_hit_prefetch)
567  io.prefetch_flag_write.valid := s3_clear_pf_flag_en && !io.counter_filter_query.resp
568  io.prefetch_flag_write.bits.idx := get_idx(s3_vaddr)
569  io.prefetch_flag_write.bits.way_en := s3_tag_match_way
570  io.prefetch_flag_write.bits.source := L1_HW_PREFETCH_NULL
571
572  io.counter_filter_query.req.valid := s3_clear_pf_flag_en
573  io.counter_filter_query.req.bits.idx := get_idx(s3_vaddr)
574  io.counter_filter_query.req.bits.way := OHToUInt(s3_tag_match_way)
575
576  io.counter_filter_enq.valid := io.prefetch_flag_write.valid
577  io.counter_filter_enq.bits.idx := get_idx(s3_vaddr)
578  io.counter_filter_enq.bits.way := OHToUInt(s3_tag_match_way)
579
580  io.prefetch_info.fdp.useful_prefetch := s3_clear_pf_flag_en && !io.counter_filter_query.resp
581
582  XSPerfAccumulate("s3_pf_hit", s3_clear_pf_flag_en)
583  XSPerfAccumulate("s3_pf_hit_filter", s3_clear_pf_flag_en && !io.counter_filter_query.resp)
584
585  // --------------------------------------------------------------------------------
586  // Debug logging functions
587  def dump_pipeline_reqs(pipeline_stage_name: String, valid: Bool,
588    req: DCacheWordReq ) = {
589      when (valid) {
590        XSDebug(s"$pipeline_stage_name: ")
591        req.dump()
592      }
593  }
594
595  def dump_pipeline_valids(pipeline_stage_name: String, signal_name: String, valid: Bool) = {
596    when (valid) {
597      XSDebug(s"$pipeline_stage_name $signal_name\n")
598    }
599  }
600
601  val load_trace = Wire(new LoadPfDbBundle)
602  val pf_trace = Wire(new LoadPfDbBundle)
603  val miss_trace = Wire(new LoadPfDbBundle)
604  val mshr_trace = Wire(new LoadPfDbBundle)
605
606  load_trace.paddr := get_block_addr(s2_paddr)
607  pf_trace.paddr := get_block_addr(s2_paddr)
608  miss_trace.paddr := get_block_addr(s2_paddr)
609  mshr_trace.paddr := get_block_addr(s2_paddr)
610
611  val table_load = ChiselDB.createTable("LoadTrace" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false)
612  val site_load = "LoadPipe_load" + id.toString
613  table_load.log(load_trace, s2_valid && s2_req.isFirstIssue && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U), site_load, clock, reset)
614
615  val table_pf = ChiselDB.createTable("LoadPfTrace" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false)
616  val site_pf = "LoadPipe_pf" + id.toString
617  table_pf.log(pf_trace, s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U), site_pf, clock, reset)
618
619  val table_miss = ChiselDB.createTable("LoadTraceMiss" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false)
620  val site_load_miss = "LoadPipe_load_miss" + id.toString
621  table_miss.log(miss_trace, s2_valid && s2_req.isFirstIssue && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U) && real_miss, site_load_miss, clock, reset)
622
623  val table_mshr = ChiselDB.createTable("LoadPfMshr" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false)
624  val site_mshr = "LoadPipe_mshr" + id.toString
625  table_mshr.log(mshr_trace, s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && io.miss_req.fire, site_mshr, clock, reset)
626
627  // performance counters
628  XSPerfAccumulate("load_req", io.lsu.req.fire)
629  XSPerfAccumulate("load_s1_kill", s1_fire && io.lsu.s1_kill)
630  XSPerfAccumulate("load_hit_way", s1_fire && s1_tag_match_dup_dc)
631  XSPerfAccumulate("load_replay", io.lsu.resp.fire && resp.bits.replay)
632  XSPerfAccumulate("load_replay_for_dcache_data_nack", io.lsu.resp.fire && resp.bits.replay && s2_nack_data)
633  XSPerfAccumulate("load_replay_for_dcache_no_mshr", io.lsu.resp.fire && resp.bits.replay && s2_nack_no_mshr)
634  XSPerfAccumulate("load_replay_for_dcache_conflict", io.lsu.resp.fire && resp.bits.replay && io.bank_conflict_slow)
635  XSPerfAccumulate("load_replay_for_dcache_wpu_pred_fail", io.lsu.resp.fire && resp.bits.replay && s2_wpu_pred_fail)
636  XSPerfAccumulate("load_hit", io.lsu.resp.fire && !real_miss)
637  XSPerfAccumulate("load_miss", io.lsu.resp.fire && real_miss)
638  XSPerfAccumulate("load_succeed", io.lsu.resp.fire && !resp.bits.miss && !resp.bits.replay)
639  XSPerfAccumulate("load_miss_or_conflict", io.lsu.resp.fire && resp.bits.miss)
640  XSPerfAccumulate("actual_ld_fast_wakeup", s1_fire && s1_tag_match_dup_dc && !io.disable_ld_fast_wakeup)
641  XSPerfAccumulate("ideal_ld_fast_wakeup", io.banked_data_read.fire && s1_tag_match_dup_dc)
642
643  val perfEvents = Seq(
644    ("load_req                 ", io.lsu.req.fire                                               ),
645    ("load_replay              ", io.lsu.resp.fire && resp.bits.replay                          ),
646    ("load_replay_for_data_nack", io.lsu.resp.fire && resp.bits.replay && s2_nack_data          ),
647    ("load_replay_for_no_mshr  ", io.lsu.resp.fire && resp.bits.replay && s2_nack_no_mshr       ),
648    ("load_replay_for_conflict ", io.lsu.resp.fire && resp.bits.replay && io.bank_conflict_slow ),
649  )
650  generatePerfEvent()
651}
652