xref: /XiangShan/src/main/scala/xiangshan/cache/dcache/loadpipe/LoadPipe.scala (revision 57bb43b5f11c3f1e89ac52f232fe73056b35d9bd)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import freechips.rocketchip.tilelink.ClientMetadata
23import utils.{HasPerfEvents, XSDebug, XSPerfAccumulate}
24import xiangshan.L1CacheErrorInfo
25
26class LoadPipe(id: Int)(implicit p: Parameters) extends DCacheModule with HasPerfEvents {
27  val io = IO(new DCacheBundle {
28    // incoming requests
29    val lsu = Flipped(new DCacheLoadIO)
30    // req got nacked in stage 0?
31    val nack      = Input(Bool())
32
33    // meta and data array read port
34    val meta_read = DecoupledIO(new MetaReadReq)
35    val meta_resp = Input(Vec(nWays, new Meta))
36    val error_flag_resp = Input(Vec(nWays, Bool()))
37
38    val tag_read = DecoupledIO(new TagReadReq)
39    val tag_resp = Input(Vec(nWays, UInt(encTagBits.W)))
40
41    val banked_data_read = DecoupledIO(new L1BankedDataReadReq)
42    val banked_data_resp = Input(Vec(DCacheBanks, new L1BankedDataReadResult()))
43    val read_error = Input(Bool())
44
45    // banked data read conflict
46    val bank_conflict_slow = Input(Bool())
47    val bank_conflict_fast = Input(Bool())
48
49    // send miss request to miss queue
50    val miss_req    = DecoupledIO(new MissReq)
51
52    // update state vec in replacement algo
53    val replace_access = ValidIO(new ReplacementAccessBundle)
54    // find the way to be replaced
55    val replace_way = new ReplacementWayReqIO
56
57    // load fast wakeup should be disabled when data read is not ready
58    val disable_ld_fast_wakeup = Input(Bool())
59
60    // ecc error
61    val error = Output(new L1CacheErrorInfo())
62  })
63
64  assert(RegNext(io.meta_read.ready))
65
66  val s1_ready = Wire(Bool())
67  val s2_ready = Wire(Bool())
68  // LSU requests
69  // it you got nacked, you can directly passdown
70  val not_nacked_ready = io.meta_read.ready && io.tag_read.ready && s1_ready
71  val nacked_ready     = true.B
72
73  // ready can wait for valid
74  io.lsu.req.ready := (!io.nack && not_nacked_ready) || (io.nack && nacked_ready)
75  io.meta_read.valid := io.lsu.req.fire() && !io.nack
76  io.tag_read.valid := io.lsu.req.fire() && !io.nack
77
78  val meta_read = io.meta_read.bits
79  val tag_read = io.tag_read.bits
80
81  // Tag read for new requests
82  meta_read.idx := get_idx(io.lsu.req.bits.addr)
83  meta_read.way_en := ~0.U(nWays.W)
84//  meta_read.tag := DontCare
85
86  tag_read.idx := get_idx(io.lsu.req.bits.addr)
87  tag_read.way_en := ~0.U(nWays.W)
88
89  // Pipeline
90  // --------------------------------------------------------------------------------
91  // stage 0
92  val s0_valid = io.lsu.req.fire()
93  val s0_req = io.lsu.req.bits
94  val s0_fire = s0_valid && s1_ready
95
96  assert(RegNext(!(s0_valid && (s0_req.cmd =/= MemoryOpConstants.M_XRD && s0_req.cmd =/= MemoryOpConstants.M_PFR && s0_req.cmd =/= MemoryOpConstants.M_PFW))), "LoadPipe only accepts load req / softprefetch read or write!")
97  dump_pipeline_reqs("LoadPipe s0", s0_valid, s0_req)
98
99  // --------------------------------------------------------------------------------
100  // stage 1
101  val s1_valid = RegInit(false.B)
102  val s1_req = RegEnable(s0_req, s0_fire)
103  // in stage 1, load unit gets the physical address
104  val s1_addr = io.lsu.s1_paddr
105  val s1_vaddr = s1_req.addr
106  val s1_bank_oh = UIntToOH(addr_to_dcache_bank(s1_req.addr))
107  val s1_nack = RegNext(io.nack)
108  val s1_nack_data = !io.banked_data_read.ready
109  val s1_fire = s1_valid && s2_ready
110  s1_ready := !s1_valid || s1_fire
111
112  when (s0_fire) { s1_valid := true.B }
113  .elsewhen (s1_fire) { s1_valid := false.B }
114
115  dump_pipeline_reqs("LoadPipe s1", s1_valid, s1_req)
116
117  // tag check
118  val meta_resp = io.meta_resp
119  val tag_resp = io.tag_resp.map(r => r(tagBits - 1, 0))
120  def wayMap[T <: Data](f: Int => T) = VecInit((0 until nWays).map(f))
121  val s1_tag_eq_way = wayMap((w: Int) => tag_resp(w) === (get_tag(s1_addr))).asUInt
122  val s1_tag_match_way = wayMap((w: Int) => s1_tag_eq_way(w) && meta_resp(w).coh.isValid()).asUInt
123  val s1_tag_match = s1_tag_match_way.orR
124  assert(RegNext(!s1_valid || PopCount(s1_tag_match_way) <= 1.U), "tag should not match with more than 1 way")
125
126  val s1_fake_meta = Wire(new Meta)
127//  s1_fake_meta.tag := get_tag(s1_addr)
128  s1_fake_meta.coh := ClientMetadata.onReset
129  val s1_fake_tag = get_tag(s1_addr)
130
131  // when there are no tag match, we give it a Fake Meta
132  // this simplifies our logic in s2 stage
133  val s1_hit_meta = Mux(s1_tag_match, Mux1H(s1_tag_match_way, wayMap((w: Int) => meta_resp(w))), s1_fake_meta)
134  val s1_hit_coh = s1_hit_meta.coh
135  val s1_hit_error = Mux(s1_tag_match, Mux1H(s1_tag_match_way, wayMap((w: Int) => io.error_flag_resp(w))), false.B)
136
137  io.replace_way.set.valid := RegNext(s0_fire)
138  io.replace_way.set.bits := get_idx(s1_vaddr)
139  val s1_repl_way_en = UIntToOH(io.replace_way.way)
140  val s1_repl_tag = Mux1H(s1_repl_way_en, wayMap(w => tag_resp(w)))
141  val s1_repl_coh = Mux1H(s1_repl_way_en, wayMap(w => meta_resp(w).coh))
142
143  val s1_need_replacement = !s1_tag_match
144  val s1_way_en = Mux(s1_need_replacement, s1_repl_way_en, s1_tag_match_way)
145  val s1_coh = Mux(s1_need_replacement, s1_repl_coh, s1_hit_coh)
146  val s1_tag = Mux(s1_need_replacement, s1_repl_tag, get_tag(s1_addr))
147
148  // data read
149  io.banked_data_read.valid := s1_fire && !s1_nack
150  io.banked_data_read.bits.addr := s1_vaddr
151  io.banked_data_read.bits.way_en := s1_tag_match_way
152
153  io.replace_access.valid := RegNext(RegNext(io.meta_read.fire()) && s1_valid)
154  io.replace_access.bits.set := RegNext(get_idx(s1_req.addr))
155  io.replace_access.bits.way := RegNext(Mux(s1_tag_match, OHToUInt(s1_tag_match_way), io.replace_way.way))
156
157  // get s1_will_send_miss_req in lpad_s1
158  val s1_has_permission = s1_hit_coh.onAccess(s1_req.cmd)._1
159  val s1_new_hit_coh = s1_hit_coh.onAccess(s1_req.cmd)._3
160  val s1_hit = s1_tag_match && s1_has_permission && s1_hit_coh === s1_new_hit_coh
161  val s1_will_send_miss_req = s1_valid && !s1_nack && !s1_nack_data && !s1_hit
162
163  // check ecc error
164  val s1_encTag = Mux1H(s1_tag_match_way, wayMap((w: Int) => io.tag_resp(w)))
165  val s1_tag_error = dcacheParameters.tagCode.decode(s1_encTag).error // error reported by tag ecc check
166  val s1_flag_error = Mux(s1_need_replacement, false.B, s1_hit_error) // error reported by exist dcache error bit
167  val s1_error = s1_flag_error || s1_tag_error
168
169  // --------------------------------------------------------------------------------
170  // stage 2
171  // val s2_valid = RegEnable(next = s1_valid && !io.lsu.s1_kill, init = false.B, enable = s1_fire)
172  val s2_valid = RegInit(false.B)
173  val s2_req = RegEnable(s1_req, s1_fire)
174  val s2_addr = RegEnable(s1_addr, s1_fire)
175  val s2_vaddr = RegEnable(s1_vaddr, s1_fire)
176  val s2_bank_oh = RegEnable(s1_bank_oh, s1_fire)
177  s2_ready := true.B
178
179  when (s1_fire) { s2_valid := !io.lsu.s1_kill }
180  .elsewhen(io.lsu.resp.fire()) { s2_valid := false.B }
181
182  dump_pipeline_reqs("LoadPipe s2", s2_valid, s2_req)
183
184  // hit, miss, nack, permission checking
185  val s2_tag_match_way = RegEnable(s1_tag_match_way, s1_fire)
186  val s2_tag_match = RegEnable(s1_tag_match, s1_fire)
187
188  val s2_hit_meta = RegEnable(s1_hit_meta, s1_fire)
189  val s2_hit_coh = RegEnable(s1_hit_coh, s1_fire)
190  val s2_has_permission = s2_hit_coh.onAccess(s2_req.cmd)._1
191  val s2_new_hit_coh = s2_hit_coh.onAccess(s2_req.cmd)._3
192
193  val s2_way_en = RegEnable(s1_way_en, s1_fire)
194  val s2_repl_coh = RegEnable(s1_repl_coh, s1_fire)
195  val s2_repl_tag = RegEnable(s1_repl_tag, s1_fire)
196
197  // when req got nacked, upper levels should replay this request
198  // nacked or not
199  val s2_nack_hit = RegEnable(s1_nack, s1_fire)
200  // can no allocate mshr for load miss
201  val s2_nack_no_mshr = io.miss_req.valid && !io.miss_req.ready
202  // Bank conflict on data arrays
203  val s2_nack_data = RegEnable(!io.banked_data_read.ready, s1_fire)
204  val s2_nack = s2_nack_hit || s2_nack_no_mshr || s2_nack_data
205
206  val banked_data_resp = io.banked_data_resp
207  val s2_bank_addr = addr_to_dcache_bank(s2_addr)
208  val banked_data_resp_word = Mux1H(s2_bank_oh, io.banked_data_resp) // io.banked_data_resp(s2_bank_addr)
209  dontTouch(s2_bank_addr)
210
211  val s2_instrtype = s2_req.instrtype
212
213  val s2_tag_error = RegEnable(s1_tag_error, s1_fire)
214  val s2_flag_error = RegEnable(s1_flag_error, s1_fire)
215  val s2_data_error = io.read_error // banked_data_resp_word.error && !bank_conflict_slow
216  val s2_error = RegEnable(s1_error, s1_fire) || s2_data_error
217
218  val s2_hit = s2_tag_match && s2_has_permission && s2_hit_coh === s2_new_hit_coh
219
220  // only dump these signals when they are actually valid
221  dump_pipeline_valids("LoadPipe s2", "s2_hit", s2_valid && s2_hit)
222  dump_pipeline_valids("LoadPipe s2", "s2_nack", s2_valid && s2_nack)
223  dump_pipeline_valids("LoadPipe s2", "s2_nack_hit", s2_valid && s2_nack_hit)
224  dump_pipeline_valids("LoadPipe s2", "s2_nack_no_mshr", s2_valid && s2_nack_no_mshr)
225
226  val s2_can_send_miss_req = RegEnable(s1_will_send_miss_req, s1_fire)
227
228  // send load miss to miss queue
229  io.miss_req.valid := s2_valid && s2_can_send_miss_req
230  io.miss_req.bits := DontCare
231  io.miss_req.bits.source := s2_instrtype
232  io.miss_req.bits.cmd := s2_req.cmd
233  io.miss_req.bits.addr := get_block_addr(s2_addr)
234  io.miss_req.bits.vaddr := s2_vaddr
235  io.miss_req.bits.way_en := s2_way_en
236  io.miss_req.bits.req_coh := s2_hit_coh
237  io.miss_req.bits.replace_coh := s2_repl_coh
238  io.miss_req.bits.replace_tag := s2_repl_tag
239  io.miss_req.bits.cancel := io.lsu.s2_kill || s2_tag_error
240
241  // send back response
242  val resp = Wire(ValidIO(new DCacheWordResp))
243  resp.valid := s2_valid
244  resp.bits := DontCare
245  // resp.bits.data := s2_word_decoded
246  resp.bits.data := banked_data_resp_word.raw_data
247  // * on miss or nack, upper level should replay request
248  // but if we successfully sent the request to miss queue
249  // upper level does not need to replay request
250  // they can sit in load queue and wait for refill
251  //
252  // * report a miss if bank conflict is detected
253  val real_miss = !s2_hit
254  resp.bits.miss := real_miss || io.bank_conflict_slow
255  // load pipe need replay when there is a bank conflict
256  resp.bits.replay := resp.bits.miss && (!io.miss_req.fire() || s2_nack) || io.bank_conflict_slow
257  resp.bits.tag_error := s2_tag_error
258  resp.bits.error := s2_error && (s2_hit || s2_tag_error)
259
260  XSPerfAccumulate("dcache_read_bank_conflict", io.bank_conflict_slow && s2_valid)
261
262  io.lsu.resp.valid := resp.valid
263  io.lsu.resp.bits := resp.bits
264  assert(RegNext(!(resp.valid && !io.lsu.resp.ready)), "lsu should be ready in s2")
265
266  when (resp.valid) {
267    resp.bits.dump()
268  }
269
270  io.lsu.s1_hit_way := s1_tag_match_way
271  io.lsu.s1_disable_fast_wakeup := io.disable_ld_fast_wakeup
272  io.lsu.s1_bank_conflict := io.bank_conflict_fast
273  assert(RegNext(s1_ready && s2_ready), "load pipeline should never be blocked")
274
275  io.error := 0.U.asTypeOf(new L1CacheErrorInfo())
276  // report tag / data / l2 error (with paddr) to bus error unit
277  io.error.report_to_beu := RegNext((s2_tag_error || s2_data_error) && s2_valid)
278  io.error.paddr := RegNext(s2_addr)
279  io.error.source.tag := RegNext(s2_tag_error)
280  io.error.source.data := RegNext(s2_data_error)
281  io.error.source.l2 := RegNext(s2_flag_error)
282  io.error.opType.load := true.B
283  // report tag error / l2 corrupted to CACHE_ERROR csr
284  io.error.valid := RegNext(s2_error && s2_valid)
285
286  // -------
287  // Debug logging functions
288  def dump_pipeline_reqs(pipeline_stage_name: String, valid: Bool,
289    req: DCacheWordReq ) = {
290      when (valid) {
291        XSDebug(s"$pipeline_stage_name: ")
292        req.dump()
293      }
294  }
295
296  def dump_pipeline_valids(pipeline_stage_name: String, signal_name: String, valid: Bool) = {
297    when (valid) {
298      XSDebug(s"$pipeline_stage_name $signal_name\n")
299    }
300  }
301
302  // performance counters
303  XSPerfAccumulate("load_req", io.lsu.req.fire())
304  XSPerfAccumulate("load_s1_kill", s1_fire && io.lsu.s1_kill)
305  XSPerfAccumulate("load_hit_way", s1_fire && s1_tag_match)
306  XSPerfAccumulate("load_replay", io.lsu.resp.fire() && resp.bits.replay)
307  XSPerfAccumulate("load_replay_for_data_nack", io.lsu.resp.fire() && resp.bits.replay && s2_nack_data)
308  XSPerfAccumulate("load_replay_for_no_mshr", io.lsu.resp.fire() && resp.bits.replay && s2_nack_no_mshr)
309  XSPerfAccumulate("load_replay_for_conflict", io.lsu.resp.fire() && resp.bits.replay && io.bank_conflict_slow)
310  XSPerfAccumulate("load_hit", io.lsu.resp.fire() && !real_miss)
311  XSPerfAccumulate("load_miss", io.lsu.resp.fire() && real_miss)
312  XSPerfAccumulate("load_succeed", io.lsu.resp.fire() && !resp.bits.miss && !resp.bits.replay)
313  XSPerfAccumulate("load_miss_or_conflict", io.lsu.resp.fire() && resp.bits.miss)
314  XSPerfAccumulate("actual_ld_fast_wakeup", s1_fire && s1_tag_match && !io.disable_ld_fast_wakeup)
315  XSPerfAccumulate("ideal_ld_fast_wakeup", io.banked_data_read.fire() && s1_tag_match)
316
317  val perfEvents = Seq(
318    ("load_req                 ", io.lsu.req.fire()                                               ),
319    ("load_replay              ", io.lsu.resp.fire() && resp.bits.replay                          ),
320    ("load_replay_for_data_nack", io.lsu.resp.fire() && resp.bits.replay && s2_nack_data          ),
321    ("load_replay_for_no_mshr  ", io.lsu.resp.fire() && resp.bits.replay && s2_nack_no_mshr       ),
322    ("load_replay_for_conflict ", io.lsu.resp.fire() && resp.bits.replay && io.bank_conflict_slow ),
323  )
324  generatePerfEvent()
325}
326