1/*************************************************************************************** 2* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 3* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences 4* Copyright (c) 2020-2021 Peng Cheng Laboratory 5* 6* XiangShan is licensed under Mulan PSL v2. 7* You can use this software according to the terms and conditions of the Mulan PSL v2. 8* You may obtain a copy of Mulan PSL v2 at: 9* http://license.coscl.org.cn/MulanPSL2 10* 11* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 12* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 13* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 14* 15* See the Mulan PSL v2 for more details. 16***************************************************************************************/ 17 18package xiangshan.cache 19 20import org.chipsalliance.cde.config.Parameters 21import chisel3._ 22import utils._ 23import utility._ 24import chisel3.util._ 25import freechips.rocketchip.tilelink.{ClientMetadata, TLClientParameters, TLEdgeOut} 26import xiangshan.{L1CacheErrorInfo, XSCoreParamsKey} 27 28import scala.math.max 29 30class BankConflictDB(implicit p: Parameters) extends DCacheBundle{ 31 val addr = Vec(LoadPipelineWidth, Bits(PAddrBits.W)) 32 val set_index = Vec(LoadPipelineWidth, UInt((DCacheAboveIndexOffset - DCacheSetOffset).W)) 33 val bank_index = Vec(VLEN/DCacheSRAMRowBits, UInt((DCacheSetOffset - DCacheBankOffset).W)) 34 val way_index = UInt(wayBits.W) 35 val fake_rr_bank_conflict = Bool() 36} 37 38class L1BankedDataReadReq(implicit p: Parameters) extends DCacheBundle 39{ 40 val way_en = Bits(DCacheWays.W) 41 val addr = Bits(PAddrBits.W) 42} 43 44class L1BankedDataReadReqWithMask(implicit p: Parameters) extends DCacheBundle 45{ 46 val way_en = Bits(DCacheWays.W) 47 val addr = Bits(PAddrBits.W) 48 val bankMask = Bits(DCacheBanks.W) 49} 50 51class L1BankedDataReadLineReq(implicit p: Parameters) extends L1BankedDataReadReq 52{ 53 val rmask = Bits(DCacheBanks.W) 54} 55 56// Now, we can write a cache-block in a single cycle 57class L1BankedDataWriteReq(implicit p: Parameters) extends L1BankedDataReadReq 58{ 59 val wmask = Bits(DCacheBanks.W) 60 val data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W)) 61} 62 63// cache-block write request without data 64class L1BankedDataWriteReqCtrl(implicit p: Parameters) extends L1BankedDataReadReq 65 66class L1BankedDataReadResult(implicit p: Parameters) extends DCacheBundle 67{ 68 // you can choose which bank to read to save power 69 val ecc = Bits(eccBits.W) 70 val raw_data = Bits(DCacheSRAMRowBits.W) 71 val error_delayed = Bool() // 1 cycle later than data resp 72 73 def asECCData() = { 74 Cat(ecc, raw_data) 75 } 76} 77 78class DataSRAMBankWriteReq(implicit p: Parameters) extends DCacheBundle { 79 val en = Bool() 80 val addr = UInt() 81 val way_en = UInt(DCacheWays.W) 82 val data = UInt(DCacheSRAMRowBits.W) 83} 84 85// wrap a sram 86class DataSRAM(bankIdx: Int, wayIdx: Int)(implicit p: Parameters) extends DCacheModule { 87 val io = IO(new Bundle() { 88 val w = new Bundle() { 89 val en = Input(Bool()) 90 val addr = Input(UInt()) 91 val data = Input(UInt(DCacheSRAMRowBits.W)) 92 } 93 94 val r = new Bundle() { 95 val en = Input(Bool()) 96 val addr = Input(UInt()) 97 val data = Output(UInt(DCacheSRAMRowBits.W)) 98 } 99 }) 100 101 // data sram 102 val data_sram = Module(new SRAMTemplate( 103 Bits(DCacheSRAMRowBits.W), 104 set = DCacheSets / DCacheSetDiv, 105 way = 1, 106 shouldReset = false, 107 holdRead = false, 108 singlePort = true 109 )) 110 111 data_sram.io.w.req.valid := io.w.en 112 data_sram.io.w.req.bits.apply( 113 setIdx = io.w.addr, 114 data = io.w.data, 115 waymask = 1.U 116 ) 117 data_sram.io.r.req.valid := io.r.en 118 data_sram.io.r.req.bits.apply(setIdx = io.r.addr) 119 io.r.data := data_sram.io.r.resp.data(0) 120 XSPerfAccumulate("part_data_read_counter", data_sram.io.r.req.valid) 121 122 def dump_r() = { 123 when(RegNext(io.r.en)) { 124 XSDebug("bank read set %x bank %x way %x data %x\n", 125 RegNext(io.r.addr), 126 bankIdx.U, 127 wayIdx.U, 128 io.r.data 129 ) 130 } 131 } 132 133 def dump_w() = { 134 when(io.w.en) { 135 XSDebug("bank write set %x bank %x way %x data %x\n", 136 io.w.addr, 137 bankIdx.U, 138 wayIdx.U, 139 io.w.data 140 ) 141 } 142 } 143 144 def dump() = { 145 dump_w() 146 dump_r() 147 } 148} 149 150// wrap data rows of 8 ways 151class DataSRAMBank(index: Int)(implicit p: Parameters) extends DCacheModule { 152 val io = IO(new Bundle() { 153 val w = Input(new DataSRAMBankWriteReq) 154 155 val r = new Bundle() { 156 val en = Input(Bool()) 157 val addr = Input(UInt()) 158 val way_en = Input(UInt(DCacheWays.W)) 159 val data = Output(UInt(DCacheSRAMRowBits.W)) 160 } 161 }) 162 163 assert(RegNext(!io.w.en || PopCount(io.w.way_en) <= 1.U)) 164 assert(RegNext(!io.r.en || PopCount(io.r.way_en) <= 1.U)) 165 166 val r_way_en_reg = RegEnable(io.r.way_en, io.r.en) 167 168 // external controls do not read and write at the same time 169 val w_info = io.w 170 // val rw_bypass = RegNext(io.w.addr === io.r.addr && io.w.way_en === io.r.way_en && io.w.en) 171 172 // multiway data bank 173 val data_bank = Seq.fill(DCacheWays) { 174 Module(new SRAMTemplate( 175 Bits(DCacheSRAMRowBits.W), 176 set = DCacheSets / DCacheSetDiv, 177 way = 1, 178 shouldReset = false, 179 holdRead = false, 180 singlePort = true 181 )) 182 } 183 184 for (w <- 0 until DCacheWays) { 185 val wen = w_info.en && w_info.way_en(w) 186 data_bank(w).io.w.req.valid := wen 187 data_bank(w).io.w.req.bits.apply( 188 setIdx = w_info.addr, 189 data = w_info.data, 190 waymask = 1.U 191 ) 192 data_bank(w).io.r.req.valid := io.r.en 193 data_bank(w).io.r.req.bits.apply(setIdx = io.r.addr) 194 } 195 XSPerfAccumulate("part_data_read_counter", PopCount(Cat(data_bank.map(_.io.r.req.valid)))) 196 197 val half = nWays / 2 198 val data_read = data_bank.map(_.io.r.resp.data(0)) 199 val data_left = Mux1H(r_way_en_reg.tail(half), data_read.take(half)) 200 val data_right = Mux1H(r_way_en_reg.head(half), data_read.drop(half)) 201 202 val sel_low = r_way_en_reg.tail(half).orR 203 val row_data = Mux(sel_low, data_left, data_right) 204 205 io.r.data := row_data 206 207 def dump_r() = { 208 when(RegNext(io.r.en)) { 209 XSDebug("bank read addr %x way_en %x data %x\n", 210 RegNext(io.r.addr), 211 RegNext(io.r.way_en), 212 io.r.data 213 ) 214 } 215 } 216 217 def dump_w() = { 218 when(io.w.en) { 219 XSDebug("bank write addr %x way_en %x data %x\n", 220 io.w.addr, 221 io.w.way_en, 222 io.w.data 223 ) 224 } 225 } 226 227 def dump() = { 228 dump_w() 229 dump_r() 230 } 231} 232 233case object HasDataEccParam 234 235// Banked DCache Data 236// ----------------------------------------------------------------- 237// | Bank0 | Bank1 | Bank2 | Bank3 | Bank4 | Bank5 | Bank6 | Bank7 | 238// ----------------------------------------------------------------- 239// | Way0 | Way0 | Way0 | Way0 | Way0 | Way0 | Way0 | Way0 | 240// | Way1 | Way1 | Way1 | Way1 | Way1 | Way1 | Way1 | Way1 | 241// | .... | .... | .... | .... | .... | .... | .... | .... | 242// ----------------------------------------------------------------- 243abstract class AbstractBankedDataArray(implicit p: Parameters) extends DCacheModule 244{ 245 val DataEccParam = if(EnableDataEcc) Some(HasDataEccParam) else None 246 val ReadlinePortErrorIndex = LoadPipelineWidth 247 val io = IO(new DCacheBundle { 248 // load pipeline read word req 249 val read = Vec(LoadPipelineWidth, Flipped(DecoupledIO(new L1BankedDataReadReqWithMask))) 250 val is128Req = Input(Vec(LoadPipelineWidth, Bool())) 251 // main pipeline read / write line req 252 val readline_intend = Input(Bool()) 253 val readline = Flipped(DecoupledIO(new L1BankedDataReadLineReq)) 254 val write = Flipped(DecoupledIO(new L1BankedDataWriteReq)) 255 val write_dup = Vec(DCacheBanks, Flipped(Decoupled(new L1BankedDataWriteReqCtrl))) 256 // data for readline and loadpipe 257 val readline_resp = Output(Vec(DCacheBanks, new L1BankedDataReadResult())) 258 val readline_error_delayed = Output(Bool()) 259 val read_resp_delayed = Output(Vec(LoadPipelineWidth, Vec(VLEN/DCacheSRAMRowBits, new L1BankedDataReadResult()))) 260 val read_error_delayed = Output(Vec(LoadPipelineWidth,Vec(VLEN/DCacheSRAMRowBits, Bool()))) 261 // val nacks = Output(Vec(LoadPipelineWidth, Bool())) 262 // val errors = Output(Vec(LoadPipelineWidth + 1, ValidIO(new L1CacheErrorInfo))) // read ports + readline port 263 // when bank_conflict, read (1) port should be ignored 264 val bank_conflict_slow = Output(Vec(LoadPipelineWidth, Bool())) 265 val disable_ld_fast_wakeup = Output(Vec(LoadPipelineWidth, Bool())) 266 // customized cache op port 267 val cacheOp = Flipped(new L1CacheInnerOpIO) 268 val cacheOp_req_dup = Vec(DCacheDupNum, Flipped(Valid(new CacheCtrlReqInfo))) 269 val cacheOp_req_bits_opCode_dup = Input(Vec(DCacheDupNum, UInt(XLEN.W))) 270 }) 271 272 def pipeMap[T <: Data](f: Int => T) = VecInit((0 until LoadPipelineWidth).map(f)) 273 274 def getECCFromEncWord(encWord: UInt) = { 275 require(encWord.getWidth == encWordBits) 276 encWord(encWordBits - 1, wordBits) 277 } 278 279 def dumpRead = { 280 (0 until LoadPipelineWidth) map { w => 281 when(io.read(w).valid) { 282 XSDebug(s"DataArray Read channel: $w valid way_en: %x addr: %x\n", 283 io.read(w).bits.way_en, io.read(w).bits.addr) 284 } 285 } 286 when(io.readline.valid) { 287 XSDebug(s"DataArray Read Line, valid way_en: %x addr: %x rmask %x\n", 288 io.readline.bits.way_en, io.readline.bits.addr, io.readline.bits.rmask) 289 } 290 } 291 292 def dumpWrite = { 293 when(io.write.valid) { 294 XSDebug(s"DataArray Write valid way_en: %x addr: %x\n", 295 io.write.bits.way_en, io.write.bits.addr) 296 297 (0 until DCacheBanks) map { r => 298 XSDebug(s"cycle: $r data: %x wmask: %x\n", 299 io.write.bits.data(r), io.write.bits.wmask(r)) 300 } 301 } 302 } 303 304 def dumpResp = { 305 XSDebug(s"DataArray ReadeResp channel:\n") 306 (0 until LoadPipelineWidth) map { r => 307 XSDebug(s"cycle: $r data: %x\n", Mux(io.is128Req(r), 308 Cat(io.read_resp_delayed(r)(1).raw_data,io.read_resp_delayed(r)(0).raw_data), 309 io.read_resp_delayed(r)(0).raw_data)) 310 } 311 } 312 313 def dump() = { 314 dumpRead 315 dumpWrite 316 dumpResp 317 } 318} 319 320// the smallest access unit is sram 321class SramedDataArray(implicit p: Parameters) extends AbstractBankedDataArray { 322 println(" DCacheType: SramedDataArray") 323 val ReduceReadlineConflict = false 324 325 io.write.ready := true.B 326 io.write_dup.foreach(_.ready := true.B) 327 328 val data_banks = List.tabulate(DCacheSetDiv)( k => List.tabulate(DCacheBanks)(i => List.tabulate(DCacheWays)(j => Module(new DataSRAM(i,j))))) 329 // ecc_banks also needs to be changed to two-dimensional to align with data_banks 330 val ecc_banks = DataEccParam.map { 331 case _ => 332 val ecc = List.tabulate(DCacheSetDiv)( k => 333 List.tabulate(DCacheWays)(j => 334 List.tabulate(DCacheBanks)(i => 335 Module(new SRAMTemplate( 336 Bits(eccBits.W), 337 set = DCacheSets / DCacheSetDiv, 338 way = 1, 339 shouldReset = false, 340 holdRead = false, 341 singlePort = true 342 )) 343 ))) 344 ecc 345 } 346 347 data_banks.map(_.map(_.map(_.dump()))) 348 349 val way_en = Wire(Vec(LoadPipelineWidth, io.read(0).bits.way_en.cloneType)) 350 val set_addrs = Wire(Vec(LoadPipelineWidth, UInt())) 351 val div_addrs = Wire(Vec(LoadPipelineWidth, UInt())) 352 val bank_addrs = Wire(Vec(LoadPipelineWidth, Vec(VLEN/DCacheSRAMRowBits, UInt()))) 353 354 val line_set_addr = addr_to_dcache_div_set(io.readline.bits.addr) 355 val line_div_addr = addr_to_dcache_div(io.readline.bits.addr) 356 // when WPU is enabled, line_way_en is all enabled when read data 357 val line_way_en = Fill(DCacheWays, 1.U) // val line_way_en = io.readline.bits.way_en 358 val line_way_en_reg = RegEnable(io.readline.bits.way_en, io.readline.valid) 359 360 val write_bank_mask_reg = RegEnable(io.write.bits.wmask, io.write.valid) 361 val write_data_reg = RegEnable(io.write.bits.data, io.write.valid) 362 val write_valid_reg = RegNext(io.write.valid) 363 val write_valid_dup_reg = io.write_dup.map(x => RegNext(x.valid)) 364 val write_wayen_dup_reg = io.write_dup.map(x => RegEnable(x.bits.way_en, x.valid)) 365 val write_set_addr_dup_reg = io.write_dup.map(x => RegEnable(addr_to_dcache_div_set(x.bits.addr), x.valid)) 366 val write_div_addr_dup_reg = io.write_dup.map(x => RegEnable(addr_to_dcache_div(x.bits.addr), x.valid)) 367 368 // read data_banks and ecc_banks 369 // for single port SRAM, do not allow read and write in the same cycle 370 val rrhazard = false.B // io.readline.valid 371 (0 until LoadPipelineWidth).map(rport_index => { 372 div_addrs(rport_index) := addr_to_dcache_div(io.read(rport_index).bits.addr) 373 set_addrs(rport_index) := addr_to_dcache_div_set(io.read(rport_index).bits.addr) 374 bank_addrs(rport_index)(0) := addr_to_dcache_bank(io.read(rport_index).bits.addr) 375 bank_addrs(rport_index)(1) := bank_addrs(rport_index)(0) + 1.U 376 377 // use way_en to select a way after data read out 378 assert(!(RegNext(io.read(rport_index).fire && PopCount(io.read(rport_index).bits.way_en) > 1.U))) 379 way_en(rport_index) := io.read(rport_index).bits.way_en 380 }) 381 382 // read conflict 383 val rr_bank_conflict = Seq.tabulate(LoadPipelineWidth)(x => Seq.tabulate(LoadPipelineWidth)(y => 384 io.read(x).valid && io.read(y).valid && 385 div_addrs(x) === div_addrs(y) && 386 (io.read(x).bits.bankMask & io.read(y).bits.bankMask) =/= 0.U && 387 io.read(x).bits.way_en === io.read(y).bits.way_en && 388 set_addrs(x) =/= set_addrs(y) 389 )) 390 val rrl_bank_conflict = Wire(Vec(LoadPipelineWidth, Bool())) 391 val rrl_bank_conflict_intend = Wire(Vec(LoadPipelineWidth, Bool())) 392 (0 until LoadPipelineWidth).foreach { i => 393 val judge = if (ReduceReadlineConflict) io.read(i).valid && (io.readline.bits.rmask & io.read(i).bits.bankMask) =/= 0.U && line_div_addr === div_addrs(i) && line_set_addr =/= set_addrs(i) 394 else io.read(i).valid && line_div_addr === div_addrs(i) && line_set_addr =/= set_addrs(i) 395 rrl_bank_conflict(i) := judge && io.readline.valid 396 rrl_bank_conflict_intend(i) := judge && io.readline_intend 397 } 398 val wr_bank_conflict = Seq.tabulate(LoadPipelineWidth)(x => 399 io.read(x).valid && write_valid_reg && 400 div_addrs(x) === write_div_addr_dup_reg.head && 401 way_en(x) === write_wayen_dup_reg.head && 402 (write_bank_mask_reg(bank_addrs(x)(0)) || write_bank_mask_reg(bank_addrs(x)(1)) && io.is128Req(x)) 403 ) 404 val wrl_bank_conflict = io.readline.valid && write_valid_reg && line_div_addr === write_div_addr_dup_reg.head 405 // ready 406 io.readline.ready := !(wrl_bank_conflict) 407 io.read.zipWithIndex.map { case (x, i) => x.ready := !(wr_bank_conflict(i) || rrhazard) } 408 409 val perf_multi_read = PopCount(io.read.map(_.valid)) >= 2.U 410 val bank_conflict_fast = Wire(Vec(LoadPipelineWidth, Bool())) 411 (0 until LoadPipelineWidth).foreach(i => { 412 bank_conflict_fast(i) := wr_bank_conflict(i) || rrl_bank_conflict(i) || 413 (if (i == 0) 0.B else (0 until i).map(rr_bank_conflict(_)(i)).reduce(_ || _)) 414 io.bank_conflict_slow(i) := RegNext(bank_conflict_fast(i)) 415 io.disable_ld_fast_wakeup(i) := wr_bank_conflict(i) || rrl_bank_conflict_intend(i) || 416 (if (i == 0) 0.B else (0 until i).map(rr_bank_conflict(_)(i)).reduce(_ || _)) 417 }) 418 XSPerfAccumulate("data_array_multi_read", perf_multi_read) 419 (1 until LoadPipelineWidth).foreach(y => (0 until y).foreach(x => 420 XSPerfAccumulate(s"data_array_rr_bank_conflict_${x}_${y}", rr_bank_conflict(x)(y)) 421 )) 422 (0 until LoadPipelineWidth).foreach(i => { 423 XSPerfAccumulate(s"data_array_rrl_bank_conflict_${i}", rrl_bank_conflict(i)) 424 XSPerfAccumulate(s"data_array_rw_bank_conflict_${i}", wr_bank_conflict(i)) 425 XSPerfAccumulate(s"data_array_read_${i}", io.read(i).valid) 426 }) 427 XSPerfAccumulate("data_array_access_total", PopCount(io.read.map(_.valid))) 428 XSPerfAccumulate("data_array_read_line", io.readline.valid) 429 XSPerfAccumulate("data_array_write", io.write.valid) 430 431 val read_result = Wire(Vec(DCacheSetDiv, Vec(DCacheBanks, Vec(DCacheWays,new L1BankedDataReadResult())))) 432 val read_error_delayed_result = Wire(Vec(DCacheSetDiv, Vec(DCacheBanks, Vec(DCacheWays, Bool())))) 433 dontTouch(read_result) 434 dontTouch(read_error_delayed_result) 435 for (div_index <- 0 until DCacheSetDiv){ 436 for (bank_index <- 0 until DCacheBanks) { 437 for (way_index <- 0 until DCacheWays) { 438 // Set Addr & Read Way Mask 439 // 440 // Pipe 0 .... Pipe (n-1) 441 // + .... + 442 // | .... | 443 // +----+---------------+-----+ 444 // X X 445 // X +------+ Bank Addr Match 446 // +---------+----------+ 447 // | 448 // +--------+--------+ 449 // | Data Bank | 450 // +-----------------+ 451 val loadpipe_en = WireInit(VecInit(List.tabulate(LoadPipelineWidth)(i => { 452 io.read(i).valid && div_addrs(i) === div_index.U && (bank_addrs(i)(0) === bank_index.U || bank_addrs(i)(1) === bank_index.U && io.is128Req(i)) && way_en(i)(way_index) 453 }))) 454 val readline_en = Wire(Bool()) 455 if (ReduceReadlineConflict) { 456 readline_en := io.readline.valid && io.readline.bits.rmask(bank_index) && line_way_en(way_index) && div_index.U === line_div_addr 457 } else { 458 readline_en := io.readline.valid && line_way_en(way_index) && div_index.U === line_div_addr 459 } 460 val sram_set_addr = Mux(readline_en, 461 addr_to_dcache_div_set(io.readline.bits.addr), 462 PriorityMux(Seq.tabulate(LoadPipelineWidth)(i => loadpipe_en(i) -> set_addrs(i))) 463 ) 464 val read_en = loadpipe_en.asUInt.orR || readline_en 465 // read raw data 466 val data_bank = data_banks(div_index)(bank_index)(way_index) 467 data_bank.io.r.en := read_en 468 data_bank.io.r.addr := sram_set_addr 469 ecc_banks match { 470 case Some(banks) => 471 val ecc_bank = banks(div_index)(bank_index)(way_index) 472 ecc_bank.io.r.req.valid := read_en 473 ecc_bank.io.r.req.bits.apply(setIdx = sram_set_addr) 474 read_result(div_index)(bank_index)(way_index).ecc := ecc_bank.io.r.resp.data(0) 475 case None => 476 read_result(div_index)(bank_index)(way_index).ecc := 0.U 477 } 478 479 read_result(div_index)(bank_index)(way_index).raw_data := data_bank.io.r.data 480 481 // use ECC to check error 482 ecc_banks match { 483 case Some(_) => 484 val ecc_data = read_result(div_index)(bank_index)(way_index).asECCData() 485 val ecc_data_delayed = RegEnable(ecc_data, RegNext(read_en)) 486 read_result(div_index)(bank_index)(way_index).error_delayed := dcacheParameters.dataCode.decode(ecc_data_delayed).error 487 read_error_delayed_result(div_index)(bank_index)(way_index) := read_result(div_index)(bank_index)(way_index).error_delayed 488 case None => 489 read_result(div_index)(bank_index)(way_index).error_delayed := false.B 490 read_error_delayed_result(div_index)(bank_index)(way_index) := false.B 491 } 492 } 493 } 494 } 495 496 val data_read_oh = WireInit(VecInit(Seq.fill(DCacheSetDiv * DCacheBanks * DCacheWays)(0.U(1.W)))) 497 for(div_index <- 0 until DCacheSetDiv){ 498 for (bank_index <- 0 until DCacheBanks) { 499 for (way_index <- 0 until DCacheWays) { 500 data_read_oh(div_index * DCacheBanks * DCacheWays + bank_index * DCacheBanks + way_index) := data_banks(div_index)(bank_index)(way_index).io.r.en 501 } 502 } 503 } 504 XSPerfAccumulate("data_read_counter", PopCount(Cat(data_read_oh))) 505 506 // read result: expose banked read result 507 // TODO: clock gate 508 val read_result_delayed = RegNext(read_result) 509 (0 until LoadPipelineWidth).map(i => { 510 // io.read_resp(i) := read_result(RegNext(bank_addrs(i)))(RegNext(OHToUInt(way_en(i)))) 511 val rr_read_fire = RegNext(RegNext(io.read(i).fire)) 512 val rr_div_addr = RegNext(RegNext(div_addrs(i))) 513 val rr_bank_addr = RegNext(RegNext(bank_addrs(i))) 514 val rr_way_addr = RegNext(RegNext(OHToUInt(way_en(i)))) 515 (0 until VLEN/DCacheSRAMRowBits).map( j =>{ 516 io.read_resp_delayed(i)(j) := read_result_delayed(rr_div_addr)(rr_bank_addr(j))(rr_way_addr) 517 // error detection 518 // normal read ports 519 io.read_error_delayed(i)(j) := rr_read_fire && read_error_delayed_result(rr_div_addr)(rr_bank_addr(j))(rr_way_addr) && !RegNext(io.bank_conflict_slow(i)) 520 }) 521 }) 522 523 // readline port 524 (0 until DCacheBanks).map(i => { 525 io.readline_resp(i) := read_result(RegNext(line_div_addr))(i)(RegNext(OHToUInt(io.readline.bits.way_en))) 526 }) 527 io.readline_error_delayed := RegNext(RegNext(io.readline.fire)) && 528 VecInit((0 until DCacheBanks).map(i => io.readline_resp(i).error_delayed)).asUInt.orR 529 530 // write data_banks & ecc_banks 531 for (div_index <- 0 until DCacheSetDiv) { 532 for (bank_index <- 0 until DCacheBanks) { 533 for (way_index <- 0 until DCacheWays) { 534 // data write 535 val wen_reg = write_bank_mask_reg(bank_index) && 536 write_valid_dup_reg(bank_index) && 537 write_div_addr_dup_reg(bank_index) === div_index.U && 538 write_wayen_dup_reg(bank_index)(way_index) 539 val data_bank = data_banks(div_index)(bank_index)(way_index) 540 data_bank.io.w.en := wen_reg 541 542 data_bank.io.w.addr := write_set_addr_dup_reg(bank_index) 543 data_bank.io.w.data := write_data_reg(bank_index) 544 // ecc write 545 ecc_banks match { 546 case Some(banks) => 547 val ecc_bank = banks(div_index)(bank_index)(way_index) 548 ecc_bank.io.w.req.valid := wen_reg 549 ecc_bank.io.w.req.bits.apply( 550 setIdx = write_set_addr_dup_reg(bank_index), 551 data = RegNext(getECCFromEncWord(cacheParams.dataCode.encode((io.write.bits.data(bank_index))))), 552 waymask = 1.U 553 ) 554 when(ecc_bank.io.w.req.valid) { 555 XSDebug("write in ecc sram: bank %x set %x data %x waymask %x\n", 556 bank_index.U, 557 addr_to_dcache_div_set(io.write.bits.addr), 558 getECCFromEncWord(cacheParams.dataCode.encode((io.write.bits.data(bank_index)))), 559 io.write.bits.way_en 560 ) 561 } 562 case None => None 563 } 564 } 565 } 566 } 567 568 require(nWays <= 32) 569 io.cacheOp.resp.bits := DontCare 570 val cacheOpShouldResp = WireInit(false.B) 571 val eccReadResult = Wire(Vec(DCacheBanks, UInt(eccBits.W))) 572 // DCacheDupNum is 16 573 // vec: the dupIdx for every bank and every group 574 val rdata_dup_vec = Seq(0,0,1,1,2,2,3,3) 575 val rdataEcc_dup_vec = Seq(4,4,5,5,6,6,7,7) 576 val wdata_dup_vec = Seq(8,8,9,9,10,10,11,11) 577 val wdataEcc_dup_vec = Seq(12,12,13,13,14,14,15,15) 578 val cacheOpDivAddr = set_to_dcache_div(io.cacheOp.req.bits.index) 579 val cacheOpSetAddr = set_to_dcache_div_set(io.cacheOp.req.bits.index) 580 val cacheOpWayNum = io.cacheOp.req.bits.wayNum(4, 0) 581 rdata_dup_vec.zipWithIndex.map{ case(dupIdx, bankIdx) => 582 for (divIdx <- 0 until DCacheSetDiv){ 583 for (wayIdx <- 0 until DCacheWays) { 584 when(io.cacheOp_req_dup(dupIdx).valid && CacheInstrucion.isReadData(io.cacheOp_req_bits_opCode_dup(dupIdx))) { 585 val data_bank = data_banks(divIdx)(bankIdx)(wayIdx) 586 data_bank.io.r.en := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0))(wayIdx) && cacheOpDivAddr === divIdx.U 587 data_bank.io.r.addr := cacheOpSetAddr 588 cacheOpShouldResp := true.B 589 } 590 } 591 } 592 } 593 rdataEcc_dup_vec.zipWithIndex.map{ case(dupIdx, bankIdx) => 594 for (divIdx <- 0 until DCacheSetDiv) { 595 for (wayIdx <- 0 until DCacheWays) { 596 when(io.cacheOp_req_dup(dupIdx).valid && CacheInstrucion.isReadDataECC(io.cacheOp_req_bits_opCode_dup(dupIdx))) { 597 ecc_banks match { 598 case Some(banks) => 599 val ecc_bank = banks(divIdx)(bankIdx)(wayIdx) 600 ecc_bank.io.r.req.valid := true.B 601 ecc_bank.io.r.req.bits.setIdx := cacheOpSetAddr 602 cacheOpShouldResp := true.B 603 case None => 604 cacheOpShouldResp := true.B 605 } 606 } 607 } 608 } 609 } 610 wdata_dup_vec.zipWithIndex.map{ case(dupIdx, bankIdx) => 611 for (divIdx <- 0 until DCacheSetDiv) { 612 for (wayIdx <- 0 until DCacheWays) { 613 when(io.cacheOp_req_dup(dupIdx).valid && CacheInstrucion.isWriteData(io.cacheOp_req_bits_opCode_dup(dupIdx))) { 614 val data_bank = data_banks(divIdx)(bankIdx)(wayIdx) 615 data_bank.io.w.en := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0))(wayIdx) && cacheOpDivAddr === divIdx.U 616 data_bank.io.w.addr := cacheOpSetAddr 617 data_bank.io.w.data := io.cacheOp.req.bits.write_data_vec(bankIdx) 618 cacheOpShouldResp := true.B 619 } 620 } 621 } 622 } 623 wdataEcc_dup_vec.zipWithIndex.map{ case(dupIdx, bankIdx) => 624 for (divIdx <- 0 until DCacheSetDiv) { 625 for (wayIdx <- 0 until DCacheWays) { 626 when(io.cacheOp_req_dup(dupIdx).valid && CacheInstrucion.isWriteDataECC(io.cacheOp_req_bits_opCode_dup(dupIdx))) { 627 ecc_banks match { 628 case Some(banks) => 629 val ecc_bank = banks(divIdx)(bankIdx)(wayIdx) 630 ecc_bank.io.w.req.valid := UIntToOH(io.cacheOp.req.bits.wayNum(4, 0))(wayIdx) && cacheOpDivAddr === divIdx.U 631 ecc_bank.io.w.req.bits.apply( 632 setIdx = cacheOpSetAddr, 633 data = io.cacheOp.req.bits.write_data_ecc, 634 waymask = 1.U 635 ) 636 cacheOpShouldResp := true.B 637 case None => 638 cacheOpShouldResp := true.B 639 } 640 } 641 } 642 } 643 } 644 io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp) 645 for (bank_index <- 0 until DCacheBanks) { 646 io.cacheOp.resp.bits.read_data_vec(bank_index) := read_result(RegNext(cacheOpDivAddr))(bank_index)(RegNext(cacheOpWayNum)).raw_data 647 eccReadResult(bank_index) := read_result(RegNext(cacheOpDivAddr))(bank_index)(RegNext(cacheOpWayNum)).ecc 648 } 649 650 io.cacheOp.resp.bits.read_data_ecc := Mux(io.cacheOp.resp.valid, 651 eccReadResult(RegNext(io.cacheOp.req.bits.bank_num)), 652 0.U 653 ) 654 655 val tableName = "BankConflict" + p(XSCoreParamsKey).HartId.toString 656 val siteName = "BankedDataArray" + p(XSCoreParamsKey).HartId.toString 657 val bankConflictTable = ChiselDB.createTable(tableName, new BankConflictDB) 658 val bankConflictData = Wire(new BankConflictDB) 659 for (i <- 0 until LoadPipelineWidth) { 660 bankConflictData.set_index(i) := set_addrs(i) 661 bankConflictData.addr(i) := io.read(i).bits.addr 662 } 663 664 // FIXME: rr_bank_conflict(0)(1) no generalization 665 when(rr_bank_conflict(0)(1)) { 666 (0 until (VLEN/DCacheSRAMRowBits)).map(i => { 667 bankConflictData.bank_index(i) := bank_addrs(0)(i) 668 }) 669 bankConflictData.way_index := OHToUInt(way_en(0)) 670 bankConflictData.fake_rr_bank_conflict := set_addrs(0) === set_addrs(1) && div_addrs(0) === div_addrs(1) 671 }.otherwise { 672 (0 until (VLEN/DCacheSRAMRowBits)).map(i => { 673 bankConflictData.bank_index(i) := 0.U 674 }) 675 bankConflictData.way_index := 0.U 676 bankConflictData.fake_rr_bank_conflict := false.B 677 } 678 679 val isWriteBankConflictTable = Constantin.createRecord(s"isWriteBankConflictTable${p(XSCoreParamsKey).HartId}") 680 bankConflictTable.log( 681 data = bankConflictData, 682 en = isWriteBankConflictTable.orR && rr_bank_conflict(0)(1), 683 site = siteName, 684 clock = clock, 685 reset = reset 686 ) 687 688 (1 until LoadPipelineWidth).foreach(y => (0 until y).foreach(x => 689 XSPerfAccumulate(s"data_array_fake_rr_bank_conflict_${x}_${y}", rr_bank_conflict(x)(y) && set_addrs(x)===set_addrs(y) && div_addrs(x) === div_addrs(y)) 690 )) 691 692} 693 694// the smallest access unit is bank 695class BankedDataArray(implicit p: Parameters) extends AbstractBankedDataArray { 696 println(" DCacheType: BankedDataArray") 697 val ReduceReadlineConflict = false 698 699 io.write.ready := true.B 700 io.write_dup.foreach(_.ready := true.B) 701 702 val data_banks = List.fill(DCacheSetDiv)(List.tabulate(DCacheBanks)(i => Module(new DataSRAMBank(i)))) 703 val ecc_banks = DataEccParam.map { 704 case _ => 705 val ecc = List.fill(DCacheSetDiv)(List.fill(DCacheBanks)( 706 Module(new SRAMTemplate( 707 Bits(eccBits.W), 708 set = DCacheSets / DCacheSetDiv, 709 way = DCacheWays, 710 shouldReset = false, 711 holdRead = false, 712 singlePort = true 713 )) 714 )) 715 ecc 716 } 717 718 data_banks.map(_.map(_.dump())) 719 720 val way_en = Wire(Vec(LoadPipelineWidth, io.read(0).bits.way_en.cloneType)) 721 val set_addrs = Wire(Vec(LoadPipelineWidth, UInt())) 722 val div_addrs = Wire(Vec(LoadPipelineWidth, UInt())) 723 val bank_addrs = Wire(Vec(LoadPipelineWidth, Vec(VLEN/DCacheSRAMRowBits, UInt()))) 724 val way_en_reg = Wire(Vec(LoadPipelineWidth, io.read(0).bits.way_en.cloneType)) 725 val set_addrs_reg = Wire(Vec(LoadPipelineWidth, UInt())) 726 727 val line_set_addr = addr_to_dcache_div_set(io.readline.bits.addr) 728 val line_div_addr = addr_to_dcache_div(io.readline.bits.addr) 729 val line_way_en = io.readline.bits.way_en 730 731 val write_bank_mask_reg = RegNext(io.write.bits.wmask) 732 val write_data_reg = RegEnable(io.write.bits.data, io.write.valid) 733 val write_valid_reg = RegNext(io.write.valid) 734 val write_valid_dup_reg = io.write_dup.map(x => RegNext(x.valid)) 735 val write_wayen_dup_reg = io.write_dup.map(x => RegNext(x.bits.way_en)) 736 val write_set_addr_dup_reg = io.write_dup.map(x => RegEnable(addr_to_dcache_div_set(x.bits.addr), x.valid)) 737 val write_div_addr_dup_reg = io.write_dup.map(x => RegEnable(addr_to_dcache_div(x.bits.addr), x.valid)) 738 739 // read data_banks and ecc_banks 740 // for single port SRAM, do not allow read and write in the same cycle 741 val rwhazard = RegNext(io.write.valid) 742 val rrhazard = false.B // io.readline.valid 743 (0 until LoadPipelineWidth).map(rport_index => { 744 div_addrs(rport_index) := addr_to_dcache_div(io.read(rport_index).bits.addr) 745 bank_addrs(rport_index)(0) := addr_to_dcache_bank(io.read(rport_index).bits.addr) 746 bank_addrs(rport_index)(1) := Mux(io.is128Req(rport_index), bank_addrs(rport_index)(0) + 1.U, DCacheBanks.asUInt) 747 set_addrs(rport_index) := addr_to_dcache_div_set(io.read(rport_index).bits.addr) 748 set_addrs_reg(rport_index) := RegEnable(addr_to_dcache_div_set(io.read(rport_index).bits.addr), io.read(rport_index).valid) 749 750 // use way_en to select a way after data read out 751 assert(!(RegNext(io.read(rport_index).fire && PopCount(io.read(rport_index).bits.way_en) > 1.U))) 752 way_en(rport_index) := io.read(rport_index).bits.way_en 753 way_en_reg(rport_index) := RegEnable(io.read(rport_index).bits.way_en, io.read(rport_index).valid) 754 }) 755 756 // read each bank, get bank result 757 val rr_bank_conflict = Seq.tabulate(LoadPipelineWidth)(x => Seq.tabulate(LoadPipelineWidth)(y => 758 io.read(x).valid && io.read(y).valid && 759 div_addrs(x) === div_addrs(y) && 760 (io.read(x).bits.bankMask & io.read(y).bits.bankMask) =/= 0.U 761 )) 762 val rrl_bank_conflict = Wire(Vec(LoadPipelineWidth, Bool())) 763 val rrl_bank_conflict_intend = Wire(Vec(LoadPipelineWidth, Bool())) 764 (0 until LoadPipelineWidth).foreach { i => 765 val judge = if (ReduceReadlineConflict) io.read(i).valid && (io.readline.bits.rmask & io.read(i).bits.bankMask) =/= 0.U && div_addrs(i) === line_div_addr 766 else io.read(i).valid && div_addrs(i)===line_div_addr 767 rrl_bank_conflict(i) := judge && io.readline.valid 768 rrl_bank_conflict_intend(i) := judge && io.readline_intend 769 } 770 val wr_bank_conflict = Seq.tabulate(LoadPipelineWidth)(x => 771 io.read(x).valid && 772 write_valid_reg && 773 div_addrs(x) === write_div_addr_dup_reg.head && 774 (write_bank_mask_reg(bank_addrs(x)(0)) || write_bank_mask_reg(bank_addrs(x)(1)) && io.is128Req(x)) 775 ) 776 val wrl_bank_conflict = io.readline.valid && write_valid_reg && line_div_addr === write_div_addr_dup_reg.head 777 // ready 778 io.readline.ready := !(wrl_bank_conflict) 779 io.read.zipWithIndex.map{case(x, i) => x.ready := !(wr_bank_conflict(i) || rrhazard)} 780 781 val perf_multi_read = PopCount(io.read.map(_.valid)) >= 2.U 782 (0 until LoadPipelineWidth).foreach(i => { 783 // remove fake rr_bank_conflict situation in s2 784 val real_other_bank_conflict_reg = RegNext(wr_bank_conflict(i) || rrl_bank_conflict(i)) 785 val real_rr_bank_conflict_reg = (if (i == 0) 0.B else (0 until i).map{ j => 786 RegNext(rr_bank_conflict(j)(i)) && 787 (way_en_reg(j) =/= way_en_reg(i) || set_addrs_reg(j) =/= set_addrs_reg(i)) 788 }.reduce(_ || _)) 789 io.bank_conflict_slow(i) := real_other_bank_conflict_reg || real_rr_bank_conflict_reg 790 791 // get result in s1 792 io.disable_ld_fast_wakeup(i) := wr_bank_conflict(i) || rrl_bank_conflict_intend(i) || 793 (if (i == 0) 0.B else (0 until i).map(rr_bank_conflict(_)(i)).reduce(_ || _)) 794 }) 795 XSPerfAccumulate("data_array_multi_read", perf_multi_read) 796 (1 until LoadPipelineWidth).foreach(y => (0 until y).foreach(x => 797 XSPerfAccumulate(s"data_array_rr_bank_conflict_${x}_${y}", rr_bank_conflict(x)(y)) 798 )) 799 (0 until LoadPipelineWidth).foreach(i => { 800 XSPerfAccumulate(s"data_array_rrl_bank_conflict_${i}", rrl_bank_conflict(i)) 801 XSPerfAccumulate(s"data_array_rw_bank_conflict_${i}", wr_bank_conflict(i)) 802 XSPerfAccumulate(s"data_array_read_${i}", io.read(i).valid) 803 }) 804 XSPerfAccumulate("data_array_access_total", PopCount(io.read.map(_.valid))) 805 XSPerfAccumulate("data_array_read_line", io.readline.valid) 806 XSPerfAccumulate("data_array_write", io.write.valid) 807 808 val bank_result = Wire(Vec(DCacheSetDiv, Vec(DCacheBanks, new L1BankedDataReadResult()))) 809 val ecc_result = Wire(Vec(DCacheSetDiv, Vec(DCacheBanks, Vec(DCacheWays, UInt(eccBits.W))))) 810 val read_bank_error_delayed = Wire(Vec(DCacheSetDiv, Vec(DCacheBanks, Bool()))) 811 dontTouch(bank_result) 812 dontTouch(read_bank_error_delayed) 813 for (div_index <- 0 until DCacheSetDiv) { 814 for (bank_index <- 0 until DCacheBanks) { 815 // Set Addr & Read Way Mask 816 // 817 // Pipe 0 .... Pipe (n-1) 818 // + .... + 819 // | .... | 820 // +----+---------------+-----+ 821 // X X 822 // X +------+ Bank Addr Match 823 // +---------+----------+ 824 // | 825 // +--------+--------+ 826 // | Data Bank | 827 // +-----------------+ 828 val bank_addr_matchs = WireInit(VecInit(List.tabulate(LoadPipelineWidth)(i => { 829 io.read(i).valid && div_addrs(i) === div_index.U && (bank_addrs(i)(0) === bank_index.U || bank_addrs(i)(1) === bank_index.U && io.is128Req(i)) 830 }))) 831 val readline_match = Wire(Bool()) 832 if (ReduceReadlineConflict) { 833 readline_match := io.readline.valid && io.readline.bits.rmask(bank_index) && line_div_addr === div_index.U 834 } else { 835 readline_match := io.readline.valid && line_div_addr === div_index.U 836 } 837 val bank_way_en = Mux(readline_match, 838 io.readline.bits.way_en, 839 PriorityMux(Seq.tabulate(LoadPipelineWidth)(i => bank_addr_matchs(i) -> way_en(i))) 840 ) 841 // it is too long of bank_way_en's caculation, so bank_way_en_reg can not be caculated by RegNext(bank_way_en) 842 val bank_way_en_reg = Mux(RegNext(readline_match), 843 RegNext(io.readline.bits.way_en), 844 PriorityMux(Seq.tabulate(LoadPipelineWidth)(i => RegNext(bank_addr_matchs(i)) -> RegNext(way_en(i)))) 845 ) 846 val bank_set_addr = Mux(readline_match, 847 line_set_addr, 848 PriorityMux(Seq.tabulate(LoadPipelineWidth)(i => bank_addr_matchs(i) -> set_addrs(i))) 849 ) 850 851 val read_enable = bank_addr_matchs.asUInt.orR || readline_match 852 853 // read raw data 854 val data_bank = data_banks(div_index)(bank_index) 855 data_bank.io.r.en := read_enable 856 data_bank.io.r.way_en := bank_way_en 857 data_bank.io.r.addr := bank_set_addr 858 bank_result(div_index)(bank_index).raw_data := data_bank.io.r.data 859 860 // read ECC 861 ecc_banks match { 862 case Some(banks) => 863 val ecc_bank = banks(div_index)(bank_index) 864 ecc_bank.io.r.req.valid := read_enable 865 ecc_bank.io.r.req.bits.apply(setIdx = bank_set_addr) 866 ecc_result(div_index)(bank_index) := ecc_bank.io.r.resp.data 867 bank_result(div_index)(bank_index).ecc := Mux1H(bank_way_en_reg, ecc_bank.io.r.resp.data) 868 case None => 869 ecc_result(div_index)(bank_index) := DontCare 870 bank_result(div_index)(bank_index).ecc := DontCare 871 } 872 873 // use ECC to check error 874 ecc_banks match { 875 case Some(_) => 876 val ecc_data = bank_result(div_index)(bank_index).asECCData() 877 val ecc_data_delayed = RegEnable(ecc_data, RegNext(read_enable)) 878 bank_result(div_index)(bank_index).error_delayed := dcacheParameters.dataCode.decode(ecc_data_delayed).error 879 read_bank_error_delayed(div_index)(bank_index) := bank_result(div_index)(bank_index).error_delayed 880 case None => 881 bank_result(div_index)(bank_index).error_delayed := false.B 882 read_bank_error_delayed(div_index)(bank_index) := false.B 883 } 884 } 885 } 886 887 val data_read_oh = WireInit(VecInit(Seq.fill(DCacheSetDiv)(0.U(XLEN.W)))) 888 for (div_index <- 0 until DCacheSetDiv){ 889 val temp = WireInit(VecInit(Seq.fill(DCacheBanks)(0.U(XLEN.W)))) 890 for (bank_index <- 0 until DCacheBanks) { 891 temp(bank_index) := PopCount(Fill(DCacheWays, data_banks(div_index)(bank_index).io.r.en.asUInt)) 892 } 893 data_read_oh(div_index) := temp.reduce(_ + _) 894 } 895 XSPerfAccumulate("data_read_counter", data_read_oh.foldLeft(0.U)(_ + _)) 896 897 val bank_result_delayed = RegNext(bank_result) 898 (0 until LoadPipelineWidth).map(i => { 899 val r_read_fire = RegNext(io.read(i).fire) 900 val rr_read_fire = RegNext(r_read_fire) 901 val rr_div_addr = RegEnable(RegEnable(div_addrs(i), io.read(i).fire), r_read_fire) 902 val rr_bank_addr = RegEnable(RegEnable(bank_addrs(i), io.read(i).fire), r_read_fire) 903 val rr_way_addr = RegEnable(RegEnable(OHToUInt(way_en(i)), io.read(i).fire), r_read_fire) 904 (0 until VLEN/DCacheSRAMRowBits).map( j =>{ 905 io.read_resp_delayed(i)(j) := bank_result_delayed(rr_div_addr)(rr_bank_addr(j)) 906 // error detection 907 io.read_error_delayed(i)(j) := rr_read_fire && read_bank_error_delayed(rr_div_addr)(rr_bank_addr(j)) && !RegNext(io.bank_conflict_slow(i)) 908 }) 909 }) 910 911 // read result: expose banked read result 912 io.readline_resp := bank_result(RegEnable(line_div_addr, io.readline.valid)) 913 io.readline_error_delayed := RegNext(RegNext(io.readline.fire)) && 914 VecInit((0 until DCacheBanks).map(i => io.readline_resp(i).error_delayed)).asUInt.orR 915 916 // write data_banks & ecc_banks 917 for (div_index <- 0 until DCacheSetDiv) { 918 for (bank_index <- 0 until DCacheBanks) { 919 // data write 920 val wen_reg = write_bank_mask_reg(bank_index) && 921 write_valid_dup_reg(bank_index) && 922 write_div_addr_dup_reg(bank_index) === div_index.U 923 val data_bank = data_banks(div_index)(bank_index) 924 data_bank.io.w.en := wen_reg 925 data_bank.io.w.way_en := write_wayen_dup_reg(bank_index) 926 data_bank.io.w.addr := write_set_addr_dup_reg(bank_index) 927 data_bank.io.w.data := write_data_reg(bank_index) 928 929 // ecc write 930 ecc_banks match { 931 case Some(banks) => 932 val ecc_bank = banks(div_index)(bank_index) 933 ecc_bank.io.w.req.valid := wen_reg 934 ecc_bank.io.w.req.bits.apply( 935 setIdx = write_set_addr_dup_reg(bank_index), 936 data = RegEnable(getECCFromEncWord(cacheParams.dataCode.encode((io.write.bits.data(bank_index)))), wen_reg), 937 waymask = write_wayen_dup_reg(bank_index) 938 ) 939 when(ecc_bank.io.w.req.valid) { 940 XSDebug("write in ecc sram: bank %x set %x data %x waymask %x\n", 941 bank_index.U, 942 addr_to_dcache_div_set(io.write.bits.addr), 943 getECCFromEncWord(cacheParams.dataCode.encode((io.write.bits.data(bank_index)))), 944 io.write.bits.way_en 945 ) 946 } 947 case None => None 948 } 949 } 950 } 951 952 // deal with customized cache op 953 require(nWays <= 32) 954 io.cacheOp.resp.bits := DontCare 955 val cacheOpShouldResp = WireInit(false.B) 956 val eccReadResult = Wire(Vec(DCacheBanks, UInt(eccBits.W))) 957 // DCacheDupNum is 16 958 // vec: the dupIdx for every bank and every group 959 val rdata_dup_vec = Seq(0, 0, 1, 1, 2, 2, 3, 3) 960 val rdataEcc_dup_vec = Seq(4, 4, 5, 5, 6, 6, 7, 7) 961 val wdata_dup_vec = Seq(8, 8, 9, 9, 10, 10, 11, 11) 962 val wdataEcc_dup_vec = Seq(12, 12, 13, 13, 14, 14, 15, 15) 963 val cacheOpDivAddr = set_to_dcache_div(io.cacheOp.req.bits.index) 964 val cacheOpSetAddr = set_to_dcache_div_set(io.cacheOp.req.bits.index) 965 val cacheOpWayMask = UIntToOH(io.cacheOp.req.bits.wayNum(4, 0)) 966 rdata_dup_vec.zipWithIndex.map{ case(dupIdx, bankIdx) => 967 for (divIdx <- 0 until DCacheSetDiv) { 968 when(io.cacheOp_req_dup(dupIdx).valid && CacheInstrucion.isReadData(io.cacheOp_req_bits_opCode_dup(dupIdx))) { 969 val data_bank = data_banks(divIdx)(bankIdx) 970 data_bank.io.r.en := true.B 971 data_bank.io.r.way_en := cacheOpWayMask 972 data_bank.io.r.addr := cacheOpSetAddr 973 cacheOpShouldResp := true.B 974 } 975 } 976 } 977 rdataEcc_dup_vec.zipWithIndex.map{ case(dupIdx, bankIdx) => 978 for (divIdx <- 0 until DCacheSetDiv) { 979 when(io.cacheOp_req_dup(dupIdx).valid && CacheInstrucion.isReadDataECC(io.cacheOp_req_bits_opCode_dup(dupIdx))) { 980 ecc_banks match { 981 case Some(banks) => 982 val ecc_bank = banks(divIdx)(bankIdx) 983 ecc_bank.io.r.req.valid := true.B 984 ecc_bank.io.r.req.bits.setIdx := cacheOpSetAddr 985 cacheOpShouldResp := true.B 986 case None => 987 cacheOpShouldResp := true.B 988 } 989 } 990 } 991 } 992 wdata_dup_vec.zipWithIndex.map{ case(dupIdx, bankIdx) => 993 for (divIdx <- 0 until DCacheSetDiv) { 994 when(io.cacheOp_req_dup(dupIdx).valid && CacheInstrucion.isWriteData(io.cacheOp_req_bits_opCode_dup(dupIdx))) { 995 val data_bank = data_banks(divIdx)(bankIdx) 996 data_bank.io.w.en := cacheOpDivAddr === divIdx.U 997 data_bank.io.w.way_en := cacheOpWayMask 998 data_bank.io.w.addr := cacheOpSetAddr 999 data_bank.io.w.data := io.cacheOp.req.bits.write_data_vec(bankIdx) 1000 cacheOpShouldResp := true.B 1001 } 1002 } 1003 } 1004 wdataEcc_dup_vec.zipWithIndex.map{ case(dupIdx, bankIdx) => 1005 for (divIdx <- 0 until DCacheSetDiv) { 1006 when(io.cacheOp_req_dup(dupIdx).valid && CacheInstrucion.isWriteDataECC(io.cacheOp_req_bits_opCode_dup(dupIdx))) { 1007 ecc_banks match { 1008 case Some(banks) => 1009 val ecc_bank = banks(divIdx)(bankIdx) 1010 ecc_bank.io.w.req.valid := cacheOpDivAddr === divIdx.U 1011 ecc_bank.io.w.req.bits.apply( 1012 setIdx = cacheOpSetAddr, 1013 data = io.cacheOp.req.bits.write_data_ecc, 1014 waymask = cacheOpWayMask 1015 ) 1016 cacheOpShouldResp := true.B 1017 case None => 1018 cacheOpShouldResp := true.B 1019 } 1020 } 1021 } 1022 } 1023 1024 io.cacheOp.resp.valid := RegNext(io.cacheOp.req.valid && cacheOpShouldResp) 1025 for (bank_index <- 0 until DCacheBanks) { 1026 io.cacheOp.resp.bits.read_data_vec(bank_index) := bank_result(RegNext(cacheOpDivAddr))(bank_index).raw_data 1027 eccReadResult(bank_index) := Mux1H(RegNext(cacheOpWayMask), ecc_result(RegNext(cacheOpDivAddr))(bank_index)) 1028 } 1029 1030 io.cacheOp.resp.bits.read_data_ecc := Mux(io.cacheOp.resp.valid, 1031 eccReadResult(RegNext(io.cacheOp.req.bits.bank_num)), 1032 0.U 1033 ) 1034 1035 val tableName = "BankConflict" + p(XSCoreParamsKey).HartId.toString 1036 val siteName = "BankedDataArray" + p(XSCoreParamsKey).HartId.toString 1037 val bankConflictTable = ChiselDB.createTable(tableName, new BankConflictDB) 1038 val bankConflictData = Wire(new BankConflictDB) 1039 for (i <- 0 until LoadPipelineWidth) { 1040 bankConflictData.set_index(i) := set_addrs(i) 1041 bankConflictData.addr(i) := io.read(i).bits.addr 1042 } 1043 1044 // FIXME: rr_bank_conflict(0)(1) no generalization 1045 when(rr_bank_conflict(0)(1)) { 1046 (0 until (VLEN/DCacheSRAMRowBits)).map(i => { 1047 bankConflictData.bank_index(i) := bank_addrs(0)(i) 1048 }) 1049 bankConflictData.way_index := OHToUInt(way_en(0)) 1050 bankConflictData.fake_rr_bank_conflict := set_addrs(0) === set_addrs(1) && div_addrs(0) === div_addrs(1) 1051 }.otherwise { 1052 (0 until (VLEN/DCacheSRAMRowBits)).map(i => { 1053 bankConflictData.bank_index(i) := 0.U 1054 }) 1055 bankConflictData.way_index := 0.U 1056 bankConflictData.fake_rr_bank_conflict := false.B 1057 } 1058 1059 val isWriteBankConflictTable = Constantin.createRecord(s"isWriteBankConflictTable${p(XSCoreParamsKey).HartId}") 1060 bankConflictTable.log( 1061 data = bankConflictData, 1062 en = isWriteBankConflictTable.orR && rr_bank_conflict(0)(1), 1063 site = siteName, 1064 clock = clock, 1065 reset = reset 1066 ) 1067 1068 (1 until LoadPipelineWidth).foreach(y => (0 until y).foreach(x => 1069 XSPerfAccumulate(s"data_array_fake_rr_bank_conflict_${x}_${y}", rr_bank_conflict(x)(y) && set_addrs(x) === set_addrs(y) && div_addrs(x) === div_addrs(y)) 1070 )) 1071 1072} 1073