1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache 18 19import chisel3._ 20import chisel3.experimental.ExtModule 21import chisel3.util._ 22import coupledL2.VaddrField 23import coupledL2.IsKeywordField 24import coupledL2.IsKeywordKey 25import freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 26import freechips.rocketchip.tilelink._ 27import freechips.rocketchip.util.BundleFieldBase 28import huancun.{AliasField, PrefetchField} 29import org.chipsalliance.cde.config.Parameters 30import utility._ 31import utils._ 32import xiangshan._ 33import xiangshan.backend.rob.RobDebugRollingIO 34import xiangshan.cache.wpu._ 35import xiangshan.mem.{AddPipelineReg, HasL1PrefetchSourceParameter} 36import xiangshan.mem.prefetch._ 37import xiangshan.mem.LqPtr 38 39// DCache specific parameters 40case class DCacheParameters 41( 42 nSets: Int = 256, 43 nWays: Int = 8, 44 rowBits: Int = 64, 45 tagECC: Option[String] = None, 46 dataECC: Option[String] = None, 47 replacer: Option[String] = Some("setplru"), 48 updateReplaceOn2ndmiss: Boolean = true, 49 nMissEntries: Int = 1, 50 nProbeEntries: Int = 1, 51 nReleaseEntries: Int = 1, 52 nMMIOEntries: Int = 1, 53 nMMIOs: Int = 1, 54 blockBytes: Int = 64, 55 nMaxPrefetchEntry: Int = 1, 56 alwaysReleaseData: Boolean = false, 57 isKeywordBitsOpt: Option[Boolean] = Some(true) 58) extends L1CacheParameters { 59 // if sets * blockBytes > 4KB(page size), 60 // cache alias will happen, 61 // we need to avoid this by recoding additional bits in L2 cache 62 val setBytes = nSets * blockBytes 63 val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 64 65 def tagCode: Code = Code.fromString(tagECC) 66 67 def dataCode: Code = Code.fromString(dataECC) 68} 69 70// Physical Address 71// -------------------------------------- 72// | Physical Tag | PIndex | Offset | 73// -------------------------------------- 74// | 75// DCacheTagOffset 76// 77// Virtual Address 78// -------------------------------------- 79// | Above index | Set | Bank | Offset | 80// -------------------------------------- 81// | | | | 82// | | | 0 83// | | DCacheBankOffset 84// | DCacheSetOffset 85// DCacheAboveIndexOffset 86 87// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 88 89trait HasDCacheParameters extends HasL1CacheParameters with HasL1PrefetchSourceParameter{ 90 val cacheParams = dcacheParameters 91 val cfg = cacheParams 92 93 def encWordBits = cacheParams.dataCode.width(wordBits) 94 95 def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 96 def eccBits = encWordBits - wordBits 97 98 def encTagBits = cacheParams.tagCode.width(tagBits) 99 def eccTagBits = encTagBits - tagBits 100 101 def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 102 103 def nSourceType = 10 104 def sourceTypeWidth = log2Up(nSourceType) 105 // non-prefetch source < 3 106 def LOAD_SOURCE = 0 107 def STORE_SOURCE = 1 108 def AMO_SOURCE = 2 109 // prefetch source >= 3 110 def DCACHE_PREFETCH_SOURCE = 3 111 def SOFT_PREFETCH = 4 112 // the following sources are only used inside SMS 113 def HW_PREFETCH_AGT = 5 114 def HW_PREFETCH_PHT_CUR = 6 115 def HW_PREFETCH_PHT_INC = 7 116 def HW_PREFETCH_PHT_DEC = 8 117 def HW_PREFETCH_BOP = 9 118 def HW_PREFETCH_STRIDE = 10 119 120 def BLOOM_FILTER_ENTRY_NUM = 4096 121 122 // each source use a id to distinguish its multiple reqs 123 def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize) 124 125 require(isPow2(cfg.nMissEntries)) // TODO 126 // require(isPow2(cfg.nReleaseEntries)) 127 require(cfg.nMissEntries < cfg.nReleaseEntries) 128 val nEntries = cfg.nMissEntries + cfg.nReleaseEntries 129 val releaseIdBase = cfg.nMissEntries 130 131 // banked dcache support 132 val DCacheSetDiv = 1 133 val DCacheSets = cacheParams.nSets 134 val DCacheWays = cacheParams.nWays 135 val DCacheBanks = 8 // hardcoded 136 val DCacheDupNum = 16 137 val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded 138 val DCacheWordBits = 64 // hardcoded 139 val DCacheWordBytes = DCacheWordBits / 8 140 val MaxPrefetchEntry = cacheParams.nMaxPrefetchEntry 141 val DCacheVWordBytes = VLEN / 8 142 require(DCacheSRAMRowBits == 64) 143 144 val DCacheSetDivBits = log2Ceil(DCacheSetDiv) 145 val DCacheSetBits = log2Ceil(DCacheSets) 146 val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 147 val DCacheSizeBytes = DCacheSizeBits / 8 148 val DCacheSizeWords = DCacheSizeBits / 64 // TODO 149 150 val DCacheSameVPAddrLength = 12 151 152 val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 153 val DCacheWordOffset = log2Up(DCacheWordBytes) 154 val DCacheVWordOffset = log2Up(DCacheVWordBytes) 155 156 val DCacheBankOffset = log2Up(DCacheSRAMRowBytes) 157 val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 158 val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 159 val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 160 val DCacheLineOffset = DCacheSetOffset 161 162 // uncache 163 val uncacheIdxBits = log2Up(StoreQueueSize + 1) max log2Up(VirtualLoadQueueSize + 1) 164 // hardware prefetch parameters 165 // high confidence hardware prefetch port 166 val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default 167 val IgnorePrefetchConfidence = false 168 169 // parameters about duplicating regs to solve fanout 170 // In Main Pipe: 171 // tag_write.ready -> data_write.valid * 8 banks 172 // tag_write.ready -> meta_write.valid 173 // tag_write.ready -> tag_write.valid 174 // tag_write.ready -> err_write.valid 175 // tag_write.ready -> wb.valid 176 val nDupTagWriteReady = DCacheBanks + 4 177 // In Main Pipe: 178 // data_write.ready -> data_write.valid * 8 banks 179 // data_write.ready -> meta_write.valid 180 // data_write.ready -> tag_write.valid 181 // data_write.ready -> err_write.valid 182 // data_write.ready -> wb.valid 183 val nDupDataWriteReady = DCacheBanks + 4 184 val nDupWbReady = DCacheBanks + 4 185 val nDupStatus = nDupTagWriteReady + nDupDataWriteReady 186 val dataWritePort = 0 187 val metaWritePort = DCacheBanks 188 val tagWritePort = metaWritePort + 1 189 val errWritePort = tagWritePort + 1 190 val wbPort = errWritePort + 1 191 192 def set_to_dcache_div(set: UInt) = { 193 require(set.getWidth >= DCacheSetBits) 194 if (DCacheSetDivBits == 0) 0.U else set(DCacheSetDivBits-1, 0) 195 } 196 197 def set_to_dcache_div_set(set: UInt) = { 198 require(set.getWidth >= DCacheSetBits) 199 set(DCacheSetBits - 1, DCacheSetDivBits) 200 } 201 202 def addr_to_dcache_bank(addr: UInt) = { 203 require(addr.getWidth >= DCacheSetOffset) 204 addr(DCacheSetOffset-1, DCacheBankOffset) 205 } 206 207 def addr_to_dcache_div(addr: UInt) = { 208 require(addr.getWidth >= DCacheAboveIndexOffset) 209 if(DCacheSetDivBits == 0) 0.U else addr(DCacheSetOffset + DCacheSetDivBits - 1, DCacheSetOffset) 210 } 211 212 def addr_to_dcache_div_set(addr: UInt) = { 213 require(addr.getWidth >= DCacheAboveIndexOffset) 214 addr(DCacheAboveIndexOffset - 1, DCacheSetOffset + DCacheSetDivBits) 215 } 216 217 def addr_to_dcache_set(addr: UInt) = { 218 require(addr.getWidth >= DCacheAboveIndexOffset) 219 addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 220 } 221 222 def get_data_of_bank(bank: Int, data: UInt) = { 223 require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 224 data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 225 } 226 227 def get_mask_of_bank(bank: Int, data: UInt) = { 228 require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 229 data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 230 } 231 232 def get_alias(vaddr: UInt): UInt ={ 233 require(blockOffBits + idxBits > pgIdxBits) 234 if(blockOffBits + idxBits > pgIdxBits){ 235 vaddr(blockOffBits + idxBits - 1, pgIdxBits) 236 }else{ 237 0.U 238 } 239 } 240 241 def is_alias_match(vaddr0: UInt, vaddr1: UInt): Bool = { 242 require(vaddr0.getWidth == VAddrBits && vaddr1.getWidth == VAddrBits) 243 if(blockOffBits + idxBits > pgIdxBits) { 244 vaddr0(blockOffBits + idxBits - 1, pgIdxBits) === vaddr1(blockOffBits + idxBits - 1, pgIdxBits) 245 }else { 246 // no alias problem 247 true.B 248 } 249 } 250 251 def get_direct_map_way(addr:UInt): UInt = { 252 addr(DCacheAboveIndexOffset + log2Up(DCacheWays) - 1, DCacheAboveIndexOffset) 253 } 254 255 def arbiter[T <: Bundle]( 256 in: Seq[DecoupledIO[T]], 257 out: DecoupledIO[T], 258 name: Option[String] = None): Unit = { 259 val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 260 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 261 for ((a, req) <- arb.io.in.zip(in)) { 262 a <> req 263 } 264 out <> arb.io.out 265 } 266 267 def arbiter_with_pipereg[T <: Bundle]( 268 in: Seq[DecoupledIO[T]], 269 out: DecoupledIO[T], 270 name: Option[String] = None): Unit = { 271 val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 272 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 273 for ((a, req) <- arb.io.in.zip(in)) { 274 a <> req 275 } 276 AddPipelineReg(arb.io.out, out, false.B) 277 } 278 279 def arbiter_with_pipereg_N_dup[T <: Bundle]( 280 in: Seq[DecoupledIO[T]], 281 out: DecoupledIO[T], 282 dups: Seq[DecoupledIO[T]], 283 name: Option[String] = None): Unit = { 284 val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 285 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 286 for ((a, req) <- arb.io.in.zip(in)) { 287 a <> req 288 } 289 for (dup <- dups) { 290 AddPipelineReg(arb.io.out, dup, false.B) 291 } 292 AddPipelineReg(arb.io.out, out, false.B) 293 } 294 295 def rrArbiter[T <: Bundle]( 296 in: Seq[DecoupledIO[T]], 297 out: DecoupledIO[T], 298 name: Option[String] = None): Unit = { 299 val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size)) 300 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 301 for ((a, req) <- arb.io.in.zip(in)) { 302 a <> req 303 } 304 out <> arb.io.out 305 } 306 307 def fastArbiter[T <: Bundle]( 308 in: Seq[DecoupledIO[T]], 309 out: DecoupledIO[T], 310 name: Option[String] = None): Unit = { 311 val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size)) 312 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 313 for ((a, req) <- arb.io.in.zip(in)) { 314 a <> req 315 } 316 out <> arb.io.out 317 } 318 319 val numReplaceRespPorts = 2 320 321 require(isPow2(nSets), s"nSets($nSets) must be pow2") 322 require(isPow2(nWays), s"nWays($nWays) must be pow2") 323 require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 324 require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 325} 326 327abstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 328 with HasDCacheParameters 329 330abstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 331 with HasDCacheParameters 332 333class ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 334 val set = UInt(log2Up(nSets).W) 335 val way = UInt(log2Up(nWays).W) 336} 337 338class ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle { 339 val set = ValidIO(UInt(log2Up(nSets).W)) 340 val dmWay = Output(UInt(log2Up(nWays).W)) 341 val way = Input(UInt(log2Up(nWays).W)) 342} 343 344class DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle 345{ 346 val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store 347 val prefetch = UInt(L1PfSourceBits.W) // cache line is first required by prefetch 348 val access = Bool() // cache line has been accessed by load / store 349 350 // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline 351} 352 353// memory request in word granularity(load, mmio, lr/sc, atomics) 354class DCacheWordReq(implicit p: Parameters) extends DCacheBundle 355{ 356 val cmd = UInt(M_SZ.W) 357 val vaddr = UInt(VAddrBits.W) 358 val data = UInt(VLEN.W) 359 val mask = UInt((VLEN/8).W) 360 val id = UInt(reqIdWidth.W) 361 val instrtype = UInt(sourceTypeWidth.W) 362 val isFirstIssue = Bool() 363 val replayCarry = new ReplayCarry(nWays) 364 val lqIdx = new LqPtr 365 366 val debug_robIdx = UInt(log2Ceil(RobSize).W) 367 def dump() = { 368 XSDebug("DCacheWordReq: cmd: %x vaddr: %x data: %x mask: %x id: %d\n", 369 cmd, vaddr, data, mask, id) 370 } 371} 372 373// memory request in word granularity(store) 374class DCacheLineReq(implicit p: Parameters) extends DCacheBundle 375{ 376 val cmd = UInt(M_SZ.W) 377 val vaddr = UInt(VAddrBits.W) 378 val addr = UInt(PAddrBits.W) 379 val data = UInt((cfg.blockBytes * 8).W) 380 val mask = UInt(cfg.blockBytes.W) 381 val id = UInt(reqIdWidth.W) 382 def dump() = { 383 XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 384 cmd, addr, data, mask, id) 385 } 386 def idx: UInt = get_idx(vaddr) 387} 388 389class DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 390 val addr = UInt(PAddrBits.W) 391 val wline = Bool() 392} 393 394class DCacheWordReqWithVaddrAndPfFlag(implicit p: Parameters) extends DCacheWordReqWithVaddr { 395 val prefetch = Bool() 396 397 def toDCacheWordReqWithVaddr() = { 398 val res = Wire(new DCacheWordReqWithVaddr) 399 res.vaddr := vaddr 400 res.wline := wline 401 res.cmd := cmd 402 res.addr := addr 403 res.data := data 404 res.mask := mask 405 res.id := id 406 res.instrtype := instrtype 407 res.replayCarry := replayCarry 408 res.isFirstIssue := isFirstIssue 409 res.debug_robIdx := debug_robIdx 410 411 res 412 } 413} 414 415class BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle 416{ 417 // read in s2 418 val data = UInt(VLEN.W) 419 // select in s3 420 val data_delayed = UInt(VLEN.W) 421 val id = UInt(reqIdWidth.W) 422 // cache req missed, send it to miss queue 423 val miss = Bool() 424 // cache miss, and failed to enter the missqueue, replay from RS is needed 425 val replay = Bool() 426 val replayCarry = new ReplayCarry(nWays) 427 // data has been corrupted 428 val tag_error = Bool() // tag error 429 val mshr_id = UInt(log2Up(cfg.nMissEntries).W) 430 431 val debug_robIdx = UInt(log2Ceil(RobSize).W) 432 def dump() = { 433 XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 434 data, id, miss, replay) 435 } 436} 437 438class DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp 439{ 440 val meta_prefetch = UInt(L1PfSourceBits.W) 441 val meta_access = Bool() 442 // s2 443 val handled = Bool() 444 val real_miss = Bool() 445 // s3: 1 cycle after data resp 446 val error_delayed = Bool() // all kinds of errors, include tag error 447 val replacementUpdated = Bool() 448} 449 450class BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp 451{ 452 val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W)) 453 val bank_oh = UInt(DCacheBanks.W) 454} 455 456class DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp 457{ 458 val error = Bool() // all kinds of errors, include tag error 459} 460 461class DCacheLineResp(implicit p: Parameters) extends DCacheBundle 462{ 463 val data = UInt((cfg.blockBytes * 8).W) 464 // cache req missed, send it to miss queue 465 val miss = Bool() 466 // cache req nacked, replay it later 467 val replay = Bool() 468 val id = UInt(reqIdWidth.W) 469 def dump() = { 470 XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 471 data, id, miss, replay) 472 } 473} 474 475class Refill(implicit p: Parameters) extends DCacheBundle 476{ 477 val addr = UInt(PAddrBits.W) 478 val data = UInt(l1BusDataWidth.W) 479 val error = Bool() // refilled data has been corrupted 480 // for debug usage 481 val data_raw = UInt((cfg.blockBytes * 8).W) 482 val hasdata = Bool() 483 val refill_done = Bool() 484 def dump() = { 485 XSDebug("Refill: addr: %x data: %x\n", addr, data) 486 } 487 val id = UInt(log2Up(cfg.nMissEntries).W) 488} 489 490class Release(implicit p: Parameters) extends DCacheBundle 491{ 492 val paddr = UInt(PAddrBits.W) 493 def dump() = { 494 XSDebug("Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset)) 495 } 496} 497 498class DCacheWordIO(implicit p: Parameters) extends DCacheBundle 499{ 500 val req = DecoupledIO(new DCacheWordReq) 501 val resp = Flipped(DecoupledIO(new DCacheWordResp)) 502} 503 504 505class UncacheWordReq(implicit p: Parameters) extends DCacheBundle 506{ 507 val cmd = UInt(M_SZ.W) 508 val addr = UInt(PAddrBits.W) 509 val data = UInt(XLEN.W) 510 val mask = UInt((XLEN/8).W) 511 val id = UInt(uncacheIdxBits.W) 512 val instrtype = UInt(sourceTypeWidth.W) 513 val atomic = Bool() 514 val isFirstIssue = Bool() 515 val replayCarry = new ReplayCarry(nWays) 516 517 def dump() = { 518 XSDebug("UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 519 cmd, addr, data, mask, id) 520 } 521} 522 523class UncacheWordResp(implicit p: Parameters) extends DCacheBundle 524{ 525 val data = UInt(XLEN.W) 526 val data_delayed = UInt(XLEN.W) 527 val id = UInt(uncacheIdxBits.W) 528 val miss = Bool() 529 val replay = Bool() 530 val tag_error = Bool() 531 val error = Bool() 532 val replayCarry = new ReplayCarry(nWays) 533 val mshr_id = UInt(log2Up(cfg.nMissEntries).W) // FIXME: why uncacheWordResp is not merged to baseDcacheResp 534 535 val debug_robIdx = UInt(log2Ceil(RobSize).W) 536 def dump() = { 537 XSDebug("UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n", 538 data, id, miss, replay, tag_error, error) 539 } 540} 541 542class UncacheWordIO(implicit p: Parameters) extends DCacheBundle 543{ 544 val req = DecoupledIO(new UncacheWordReq) 545 val resp = Flipped(DecoupledIO(new UncacheWordResp)) 546} 547 548class AtomicsResp(implicit p: Parameters) extends DCacheBundle { 549 val data = UInt(DataBits.W) 550 val miss = Bool() 551 val miss_id = UInt(log2Up(cfg.nMissEntries).W) 552 val replay = Bool() 553 val error = Bool() 554 555 val ack_miss_queue = Bool() 556 557 val id = UInt(reqIdWidth.W) 558} 559 560class AtomicWordIO(implicit p: Parameters) extends DCacheBundle 561{ 562 val req = DecoupledIO(new MainPipeReq) 563 val resp = Flipped(ValidIO(new AtomicsResp)) 564 val block_lr = Input(Bool()) 565} 566 567// used by load unit 568class DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 569{ 570 // kill previous cycle's req 571 val s1_kill = Output(Bool()) 572 val s2_kill = Output(Bool()) 573 val s0_pc = Output(UInt(VAddrBits.W)) 574 val s1_pc = Output(UInt(VAddrBits.W)) 575 val s2_pc = Output(UInt(VAddrBits.W)) 576 // cycle 0: load has updated replacement before 577 val replacementUpdated = Output(Bool()) 578 // cycle 0: prefetch source bits 579 val pf_source = Output(UInt(L1PfSourceBits.W)) 580 // cycle0: load microop 581 // val s0_uop = Output(new MicroOp) 582 // cycle 0: virtual address: req.addr 583 // cycle 1: physical address: s1_paddr 584 val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr 585 val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr 586 val s1_disable_fast_wakeup = Input(Bool()) 587 // cycle 2: hit signal 588 val s2_hit = Input(Bool()) // hit signal for lsu, 589 val s2_first_hit = Input(Bool()) 590 val s2_bank_conflict = Input(Bool()) 591 val s2_wpu_pred_fail = Input(Bool()) 592 val s2_mq_nack = Input(Bool()) 593 594 // debug 595 val debug_s1_hit_way = Input(UInt(nWays.W)) 596 val debug_s2_pred_way_num = Input(UInt(XLEN.W)) 597 val debug_s2_dm_way_num = Input(UInt(XLEN.W)) 598 val debug_s2_real_way_num = Input(UInt(XLEN.W)) 599} 600 601class DCacheLineIO(implicit p: Parameters) extends DCacheBundle 602{ 603 val req = DecoupledIO(new DCacheLineReq) 604 val resp = Flipped(DecoupledIO(new DCacheLineResp)) 605} 606 607class DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle { 608 // sbuffer will directly send request to dcache main pipe 609 val req = Flipped(Decoupled(new DCacheLineReq)) 610 611 val main_pipe_hit_resp = ValidIO(new DCacheLineResp) 612 val refill_hit_resp = ValidIO(new DCacheLineResp) 613 614 val replay_resp = ValidIO(new DCacheLineResp) 615 616 def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp) 617} 618 619// forward tilelink channel D's data to ldu 620class DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle { 621 val valid = Bool() 622 val data = UInt(l1BusDataWidth.W) 623 val mshrid = UInt(log2Up(cfg.nMissEntries).W) 624 val last = Bool() 625 626 def apply(req_valid : Bool, req_data : UInt, req_mshrid : UInt, req_last : Bool) = { 627 valid := req_valid 628 data := req_data 629 mshrid := req_mshrid 630 last := req_last 631 } 632 633 def dontCare() = { 634 valid := false.B 635 data := DontCare 636 mshrid := DontCare 637 last := DontCare 638 } 639 640 def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = { 641 val all_match = req_valid && valid && 642 req_mshr_id === mshrid && 643 req_paddr(log2Up(refillBytes)) === last 644 val forward_D = RegInit(false.B) 645 val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 646 647 val block_idx = req_paddr(log2Up(refillBytes) - 1, 3) 648 val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W))) 649 (0 until l1BusDataWidth / 64).map(i => { 650 block_data(i) := data(64 * i + 63, 64 * i) 651 }) 652 val selected_data = Wire(UInt(128.W)) 653 selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 654 655 forward_D := all_match 656 for (i <- 0 until VLEN/8) { 657 forwardData(i) := selected_data(8 * i + 7, 8 * i) 658 } 659 660 (forward_D, forwardData) 661 } 662} 663 664class MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle { 665 val inflight = Bool() 666 val paddr = UInt(PAddrBits.W) 667 val raw_data = Vec(blockRows, UInt(rowBits.W)) 668 val firstbeat_valid = Bool() 669 val lastbeat_valid = Bool() 670 671 def apply(mshr_valid : Bool, mshr_paddr : UInt, mshr_rawdata : Vec[UInt], mshr_first_valid : Bool, mshr_last_valid : Bool) = { 672 inflight := mshr_valid 673 paddr := mshr_paddr 674 raw_data := mshr_rawdata 675 firstbeat_valid := mshr_first_valid 676 lastbeat_valid := mshr_last_valid 677 } 678 679 // check if we can forward from mshr or D channel 680 def check(req_valid : Bool, req_paddr : UInt) = { 681 RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits)) 682 } 683 684 def forward(req_valid : Bool, req_paddr : UInt) = { 685 val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) || 686 (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid) 687 688 val forward_mshr = RegInit(false.B) 689 val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 690 691 val block_idx = req_paddr(log2Up(refillBytes), 3) 692 val block_data = raw_data 693 694 val selected_data = Wire(UInt(128.W)) 695 selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 696 697 forward_mshr := all_match 698 for (i <- 0 until VLEN/8) { 699 forwardData(i) := selected_data(8 * i + 7, 8 * i) 700 } 701 702 (forward_mshr, forwardData) 703 } 704} 705 706// forward mshr's data to ldu 707class LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle { 708 // req 709 val valid = Input(Bool()) 710 val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W)) 711 val paddr = Input(UInt(PAddrBits.W)) 712 // resp 713 val forward_mshr = Output(Bool()) 714 val forwardData = Output(Vec(VLEN/8, UInt(8.W))) 715 val forward_result_valid = Output(Bool()) 716 717 def connect(sink: LduToMissqueueForwardIO) = { 718 sink.valid := valid 719 sink.mshrid := mshrid 720 sink.paddr := paddr 721 forward_mshr := sink.forward_mshr 722 forwardData := sink.forwardData 723 forward_result_valid := sink.forward_result_valid 724 } 725 726 def forward() = { 727 (forward_result_valid, forward_mshr, forwardData) 728 } 729} 730 731class StorePrefetchReq(implicit p: Parameters) extends DCacheBundle { 732 val paddr = UInt(PAddrBits.W) 733 val vaddr = UInt(VAddrBits.W) 734} 735 736class DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 737 val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 738 val sta = Vec(StorePipelineWidth, Flipped(new DCacheStoreIO)) // for non-blocking store 739 //val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 740 val tl_d_channel = Output(new DcacheToLduForwardIO) 741 val store = new DCacheToSbufferIO // for sbuffer 742 val atomics = Flipped(new AtomicWordIO) // atomics reqs 743 val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check 744 val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO)) 745 val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO) 746} 747 748class DCacheTopDownIO(implicit p: Parameters) extends DCacheBundle { 749 val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 750 val robHeadMissInDCache = Output(Bool()) 751 val robHeadOtherReplay = Input(Bool()) 752} 753 754class DCacheIO(implicit p: Parameters) extends DCacheBundle { 755 val hartId = Input(UInt(hartIdLen.W)) 756 val l2_pf_store_only = Input(Bool()) 757 val lsu = new DCacheToLsuIO 758 val csr = new L1CacheToCsrIO 759 val error = new L1CacheErrorInfo 760 val mshrFull = Output(Bool()) 761 val memSetPattenDetected = Output(Bool()) 762 val lqEmpty = Input(Bool()) 763 val pf_ctrl = Output(new PrefetchControlBundle) 764 val force_write = Input(Bool()) 765 val sms_agt_evict_req = DecoupledIO(new AGTEvictReq) 766 val debugTopDown = new DCacheTopDownIO 767 val debugRolling = Flipped(new RobDebugRollingIO) 768} 769 770class DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 771 override def shouldBeInlined: Boolean = false 772 773 val reqFields: Seq[BundleFieldBase] = Seq( 774 PrefetchField(), 775 ReqSourceField(), 776 VaddrField(VAddrBits - blockOffBits), 777 // IsKeywordField() 778 ) ++ cacheParams.aliasBitsOpt.map(AliasField) 779 val echoFields: Seq[BundleFieldBase] = Seq( 780 IsKeywordField() 781 ) 782 783 val clientParameters = TLMasterPortParameters.v1( 784 Seq(TLMasterParameters.v1( 785 name = "dcache", 786 sourceId = IdRange(0, nEntries + 1), 787 supportsProbe = TransferSizes(cfg.blockBytes) 788 )), 789 requestFields = reqFields, 790 echoFields = echoFields 791 ) 792 793 val clientNode = TLClientNode(Seq(clientParameters)) 794 795 lazy val module = new DCacheImp(this) 796} 797 798 799class DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents with HasL1PrefetchSourceParameter { 800 801 val io = IO(new DCacheIO) 802 803 val (bus, edge) = outer.clientNode.out.head 804 require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 805 806 println("DCache:") 807 println(" DCacheSets: " + DCacheSets) 808 println(" DCacheSetDiv: " + DCacheSetDiv) 809 println(" DCacheWays: " + DCacheWays) 810 println(" DCacheBanks: " + DCacheBanks) 811 println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 812 println(" DCacheWordOffset: " + DCacheWordOffset) 813 println(" DCacheBankOffset: " + DCacheBankOffset) 814 println(" DCacheSetOffset: " + DCacheSetOffset) 815 println(" DCacheTagOffset: " + DCacheTagOffset) 816 println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 817 println(" DcacheMaxPrefetchEntry: " + MaxPrefetchEntry) 818 println(" WPUEnable: " + dwpuParam.enWPU) 819 println(" WPUEnableCfPred: " + dwpuParam.enCfPred) 820 println(" WPUAlgorithm: " + dwpuParam.algoName) 821 822 // Enable L1 Store prefetch 823 val StorePrefetchL1Enabled = EnableStorePrefetchAtCommit || EnableStorePrefetchAtIssue || EnableStorePrefetchSPB 824 val MetaReadPort = if(StorePrefetchL1Enabled) LoadPipelineWidth + 1 + StorePipelineWidth else LoadPipelineWidth + 1 825 val TagReadPort = if(StorePrefetchL1Enabled) LoadPipelineWidth + 1 + StorePipelineWidth else LoadPipelineWidth + 1 826 827 // Enable L1 Load prefetch 828 val LoadPrefetchL1Enabled = true 829 val AccessArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 830 val PrefetchArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 831 832 //---------------------------------------- 833 // core data structures 834 val bankedDataArray = if(dwpuParam.enWPU) Module(new SramedDataArray) else Module(new BankedDataArray) 835 val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2)) 836 val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2)) 837 val prefetchArray = Module(new L1PrefetchSourceArray(readPorts = PrefetchArrayReadPort, writePorts = 2 + LoadPipelineWidth)) // prefetch flag array 838 val accessArray = Module(new L1FlagMetaArray(readPorts = AccessArrayReadPort, writePorts = LoadPipelineWidth + 2)) 839 val tagArray = Module(new DuplicatedTagArray(readPorts = TagReadPort)) 840 val prefetcherMonitor = Module(new PrefetcherMonitor) 841 val fdpMonitor = Module(new FDPrefetcherMonitor) 842 val bloomFilter = Module(new BloomFilter(BLOOM_FILTER_ENTRY_NUM, true)) 843 val counterFilter = Module(new CounterFilter) 844 bankedDataArray.dump() 845 846 //---------------------------------------- 847 // core modules 848 val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 849 val stu = Seq.tabulate(StorePipelineWidth)({ i => Module(new StorePipe(i))}) 850 val mainPipe = Module(new MainPipe) 851 val refillPipe = Module(new RefillPipe) 852 val missQueue = Module(new MissQueue(edge)) 853 val probeQueue = Module(new ProbeQueue(edge)) 854 val wb = Module(new WritebackQueue(edge)) 855 856 missQueue.io.lqEmpty := io.lqEmpty 857 missQueue.io.hartId := io.hartId 858 missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B) 859 missQueue.io.debugTopDown <> io.debugTopDown 860 missQueue.io.sms_agt_evict_req <> io.sms_agt_evict_req 861 io.memSetPattenDetected := missQueue.io.memSetPattenDetected 862 863 val errors = ldu.map(_.io.error) ++ // load error 864 Seq(mainPipe.io.error) // store / misc error 865 io.error <> RegNext(Mux1H(errors.map(e => RegNext(e.valid) -> RegNext(e)))) 866 867 //---------------------------------------- 868 // meta array 869 870 // read / write coh meta 871 val meta_read_ports = ldu.map(_.io.meta_read) ++ 872 Seq(mainPipe.io.meta_read) ++ 873 stu.map(_.io.meta_read) 874 875 val meta_resp_ports = ldu.map(_.io.meta_resp) ++ 876 Seq(mainPipe.io.meta_resp) ++ 877 stu.map(_.io.meta_resp) 878 879 val meta_write_ports = Seq( 880 mainPipe.io.meta_write, 881 refillPipe.io.meta_write 882 ) 883 if(StorePrefetchL1Enabled) { 884 meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p } 885 meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r } 886 }else { 887 meta_read_ports.take(LoadPipelineWidth + 1).zip(metaArray.io.read).foreach { case (p, r) => r <> p } 888 meta_resp_ports.take(LoadPipelineWidth + 1).zip(metaArray.io.resp).foreach { case (p, r) => p := r } 889 890 meta_read_ports.drop(LoadPipelineWidth + 1).foreach { case p => p.ready := false.B } 891 meta_resp_ports.drop(LoadPipelineWidth + 1).foreach { case p => p := 0.U.asTypeOf(p) } 892 } 893 meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p } 894 895 // read extra meta (exclude stu) 896 meta_read_ports.take(LoadPipelineWidth + 1).zip(errorArray.io.read).foreach { case (p, r) => r <> p } 897 meta_read_ports.take(LoadPipelineWidth + 1).zip(prefetchArray.io.read).foreach { case (p, r) => r <> p } 898 meta_read_ports.take(LoadPipelineWidth + 1).zip(accessArray.io.read).foreach { case (p, r) => r <> p } 899 val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp) ++ 900 Seq(mainPipe.io.extra_meta_resp) 901 extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => { 902 (0 until nWays).map(i => { p(i).error := r(i) }) 903 }} 904 extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => { 905 (0 until nWays).map(i => { p(i).prefetch := r(i) }) 906 }} 907 extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => { 908 (0 until nWays).map(i => { p(i).access := r(i) }) 909 }} 910 911 if(LoadPrefetchL1Enabled) { 912 // use last port to read prefetch and access flag 913 prefetchArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 914 prefetchArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 915 prefetchArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 916 917 accessArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 918 accessArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 919 accessArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 920 921 val extra_flag_valid = RegNext(refillPipe.io.prefetch_flag_write.valid) 922 val extra_flag_way_en = RegEnable(refillPipe.io.prefetch_flag_write.bits.way_en, refillPipe.io.prefetch_flag_write.valid) 923 val extra_flag_prefetch = Mux1H(extra_flag_way_en, prefetchArray.io.resp.last) 924 val extra_flag_access = Mux1H(extra_flag_way_en, accessArray.io.resp.last) 925 926 prefetcherMonitor.io.validity.good_prefetch := extra_flag_valid && isFromL1Prefetch(extra_flag_prefetch) && extra_flag_access 927 prefetcherMonitor.io.validity.bad_prefetch := extra_flag_valid && isFromL1Prefetch(extra_flag_prefetch) && !extra_flag_access 928 } 929 930 // write extra meta 931 val error_flag_write_ports = Seq( 932 mainPipe.io.error_flag_write, // error flag generated by corrupted store 933 refillPipe.io.error_flag_write // corrupted signal from l2 934 ) 935 error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p } 936 937 val prefetch_flag_write_ports = ldu.map(_.io.prefetch_flag_write) ++ Seq( 938 mainPipe.io.prefetch_flag_write, // set prefetch_flag to false if coh is set to Nothing 939 refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag 940 ) 941 prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p } 942 943 val same_cycle_update_pf_flag = ldu(0).io.prefetch_flag_write.valid && ldu(1).io.prefetch_flag_write.valid && (ldu(0).io.prefetch_flag_write.bits.idx === ldu(1).io.prefetch_flag_write.bits.idx) && (ldu(0).io.prefetch_flag_write.bits.way_en === ldu(1).io.prefetch_flag_write.bits.way_en) 944 XSPerfAccumulate("same_cycle_update_pf_flag", same_cycle_update_pf_flag) 945 946 val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq( 947 mainPipe.io.access_flag_write, 948 refillPipe.io.access_flag_write 949 ) 950 access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p } 951 952 //---------------------------------------- 953 // tag array 954 if(StorePrefetchL1Enabled) { 955 require(tagArray.io.read.size == (ldu.size + stu.size + 1)) 956 }else { 957 require(tagArray.io.read.size == (ldu.size + 1)) 958 } 959 val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend 960 assert(!RegNext(!tag_write_intend && tagArray.io.write.valid)) 961 ldu.zipWithIndex.foreach { 962 case (ld, i) => 963 tagArray.io.read(i) <> ld.io.tag_read 964 ld.io.tag_resp := tagArray.io.resp(i) 965 ld.io.tag_read.ready := !tag_write_intend 966 } 967 if(StorePrefetchL1Enabled) { 968 stu.zipWithIndex.foreach { 969 case (st, i) => 970 tagArray.io.read(ldu.size + i) <> st.io.tag_read 971 st.io.tag_resp := tagArray.io.resp(ldu.size + i) 972 st.io.tag_read.ready := !tag_write_intend 973 } 974 }else { 975 stu.foreach { 976 case st => 977 st.io.tag_read.ready := false.B 978 st.io.tag_resp := 0.U.asTypeOf(st.io.tag_resp) 979 } 980 } 981 tagArray.io.read.last <> mainPipe.io.tag_read 982 mainPipe.io.tag_resp := tagArray.io.resp.last 983 984 val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid)) 985 XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle) 986 987 val tag_write_arb = Module(new Arbiter(new TagWriteReq, 2)) 988 tag_write_arb.io.in(0) <> refillPipe.io.tag_write 989 tag_write_arb.io.in(1) <> mainPipe.io.tag_write 990 tagArray.io.write <> tag_write_arb.io.out 991 992 ldu.map(m => { 993 m.io.vtag_update.valid := tagArray.io.write.valid 994 m.io.vtag_update.bits := tagArray.io.write.bits 995 }) 996 997 //---------------------------------------- 998 // data array 999 mainPipe.io.data_read.zip(ldu).map(x => x._1 := x._2.io.lsu.req.valid) 1000 1001 val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 2)) 1002 dataWriteArb.io.in(0) <> refillPipe.io.data_write 1003 dataWriteArb.io.in(1) <> mainPipe.io.data_write 1004 1005 bankedDataArray.io.write <> dataWriteArb.io.out 1006 1007 for (bank <- 0 until DCacheBanks) { 1008 val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 2)) 1009 dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid 1010 dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits 1011 dataWriteArb_dup.io.in(1).valid := mainPipe.io.data_write_dup(bank).valid 1012 dataWriteArb_dup.io.in(1).bits := mainPipe.io.data_write_dup(bank).bits 1013 1014 bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out 1015 } 1016 1017 bankedDataArray.io.readline <> mainPipe.io.data_readline 1018 bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend 1019 mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed 1020 mainPipe.io.data_resp := bankedDataArray.io.readline_resp 1021 1022 (0 until LoadPipelineWidth).map(i => { 1023 bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read 1024 bankedDataArray.io.is128Req(i) <> ldu(i).io.is128Req 1025 bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed 1026 1027 ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp_delayed(i) 1028 1029 ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i) 1030 }) 1031 val isKeyword = bus.d.bits.echo.lift(IsKeywordKey).getOrElse(false.B) 1032 (0 until LoadPipelineWidth).map(i => { 1033 val (_, _, done, _) = edge.count(bus.d) 1034 when(bus.d.bits.opcode === TLMessages.GrantData) { 1035 io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, isKeyword ^ done) 1036 // io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source,done) 1037 }.otherwise { 1038 io.lsu.forward_D(i).dontCare() 1039 } 1040 }) 1041 // tl D channel wakeup 1042 val (_, _, done, _) = edge.count(bus.d) 1043 when (bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.Grant) { 1044 io.lsu.tl_d_channel.apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done) 1045 } .otherwise { 1046 io.lsu.tl_d_channel.dontCare() 1047 } 1048 mainPipe.io.force_write <> io.force_write 1049 1050 /** dwpu */ 1051 val dwpu = Module(new DCacheWpuWrapper(LoadPipelineWidth)) 1052 for(i <- 0 until LoadPipelineWidth){ 1053 dwpu.io.req(i) <> ldu(i).io.dwpu.req(0) 1054 dwpu.io.resp(i) <> ldu(i).io.dwpu.resp(0) 1055 dwpu.io.lookup_upd(i) <> ldu(i).io.dwpu.lookup_upd(0) 1056 dwpu.io.cfpred(i) <> ldu(i).io.dwpu.cfpred(0) 1057 } 1058 dwpu.io.tagwrite_upd.valid := tagArray.io.write.valid 1059 dwpu.io.tagwrite_upd.bits.vaddr := tagArray.io.write.bits.vaddr 1060 dwpu.io.tagwrite_upd.bits.s1_real_way_en := tagArray.io.write.bits.way_en 1061 1062 //---------------------------------------- 1063 // load pipe 1064 // the s1 kill signal 1065 // only lsu uses this, replay never kills 1066 for (w <- 0 until LoadPipelineWidth) { 1067 ldu(w).io.lsu <> io.lsu.load(w) 1068 1069 // TODO:when have load128Req 1070 ldu(w).io.load128Req := false.B 1071 1072 // replay and nack not needed anymore 1073 // TODO: remove replay and nack 1074 ldu(w).io.nack := false.B 1075 1076 ldu(w).io.disable_ld_fast_wakeup := 1077 bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict 1078 } 1079 1080 prefetcherMonitor.io.timely.total_prefetch := ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) 1081 prefetcherMonitor.io.timely.late_hit_prefetch := ldu.map(_.io.prefetch_info.naive.late_hit_prefetch).reduce(_ || _) 1082 prefetcherMonitor.io.timely.late_miss_prefetch := missQueue.io.prefetch_info.naive.late_miss_prefetch 1083 prefetcherMonitor.io.timely.prefetch_hit := PopCount(ldu.map(_.io.prefetch_info.naive.prefetch_hit)) 1084 io.pf_ctrl <> prefetcherMonitor.io.pf_ctrl 1085 XSPerfAccumulate("useless_prefetch", ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) && !(ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _))) 1086 XSPerfAccumulate("useful_prefetch", ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _)) 1087 XSPerfAccumulate("late_prefetch_hit", ldu.map(_.io.prefetch_info.naive.late_prefetch_hit).reduce(_ || _)) 1088 XSPerfAccumulate("late_load_hit", ldu.map(_.io.prefetch_info.naive.late_load_hit).reduce(_ || _)) 1089 1090 /** LoadMissDB: record load miss state */ 1091 val isWriteLoadMissTable = WireInit(Constantin.createRecord("isWriteLoadMissTable" + p(XSCoreParamsKey).HartId.toString)) 1092 val isFirstHitWrite = WireInit(Constantin.createRecord("isFirstHitWrite" + p(XSCoreParamsKey).HartId.toString)) 1093 val tableName = "LoadMissDB" + p(XSCoreParamsKey).HartId.toString 1094 val siteName = "DcacheWrapper" + p(XSCoreParamsKey).HartId.toString 1095 val loadMissTable = ChiselDB.createTable(tableName, new LoadMissEntry) 1096 for( i <- 0 until LoadPipelineWidth){ 1097 val loadMissEntry = Wire(new LoadMissEntry) 1098 val loadMissWriteEn = 1099 (!ldu(i).io.lsu.resp.bits.replay && ldu(i).io.miss_req.fire) || 1100 (ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid && isFirstHitWrite.orR) 1101 loadMissEntry.timeCnt := GTimer() 1102 loadMissEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 1103 loadMissEntry.paddr := ldu(i).io.miss_req.bits.addr 1104 loadMissEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 1105 loadMissEntry.missState := OHToUInt(Cat(Seq( 1106 ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 1107 ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 1108 ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 1109 ))) 1110 loadMissTable.log( 1111 data = loadMissEntry, 1112 en = isWriteLoadMissTable.orR && loadMissWriteEn, 1113 site = siteName, 1114 clock = clock, 1115 reset = reset 1116 ) 1117 } 1118 1119 val isWriteLoadAccessTable = WireInit(Constantin.createRecord("isWriteLoadAccessTable" + p(XSCoreParamsKey).HartId.toString)) 1120 val loadAccessTable = ChiselDB.createTable("LoadAccessDB" + p(XSCoreParamsKey).HartId.toString, new LoadAccessEntry) 1121 for (i <- 0 until LoadPipelineWidth) { 1122 val loadAccessEntry = Wire(new LoadAccessEntry) 1123 loadAccessEntry.timeCnt := GTimer() 1124 loadAccessEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 1125 loadAccessEntry.paddr := ldu(i).io.miss_req.bits.addr 1126 loadAccessEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 1127 loadAccessEntry.missState := OHToUInt(Cat(Seq( 1128 ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 1129 ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 1130 ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 1131 ))) 1132 loadAccessEntry.pred_way_num := ldu(i).io.lsu.debug_s2_pred_way_num 1133 loadAccessEntry.real_way_num := ldu(i).io.lsu.debug_s2_real_way_num 1134 loadAccessEntry.dm_way_num := ldu(i).io.lsu.debug_s2_dm_way_num 1135 loadAccessTable.log( 1136 data = loadAccessEntry, 1137 en = isWriteLoadAccessTable.orR && ldu(i).io.lsu.resp.valid, 1138 site = siteName + "_loadpipe" + i.toString, 1139 clock = clock, 1140 reset = reset 1141 ) 1142 } 1143 1144 //---------------------------------------- 1145 // Sta pipe 1146 for (w <- 0 until StorePipelineWidth) { 1147 stu(w).io.lsu <> io.lsu.sta(w) 1148 } 1149 1150 //---------------------------------------- 1151 // atomics 1152 // atomics not finished yet 1153 // io.lsu.atomics <> atomicsReplayUnit.io.lsu 1154 io.lsu.atomics.resp := RegNext(mainPipe.io.atomic_resp) 1155 io.lsu.atomics.block_lr := mainPipe.io.block_lr 1156 // atomicsReplayUnit.io.pipe_resp := RegNext(mainPipe.io.atomic_resp) 1157 // atomicsReplayUnit.io.block_lr <> mainPipe.io.block_lr 1158 1159 //---------------------------------------- 1160 // miss queue 1161 // missReqArb port: 1162 // enableStorePrefetch: main pipe * 1 + load pipe * 2 + store pipe * 2; disable: main pipe * 1 + load pipe * 2 1163 // higher priority is given to lower indices 1164 val MissReqPortCount = if(StorePrefetchL1Enabled) LoadPipelineWidth + 1 + StorePipelineWidth else LoadPipelineWidth + 1 1165 val MainPipeMissReqPort = 0 1166 1167 // Request 1168 val missReqArb = Module(new ArbiterFilterByCacheLineAddr(new MissReq, MissReqPortCount, blockOffBits, PAddrBits)) 1169 1170 missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 1171 for (w <- 0 until LoadPipelineWidth) { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req } 1172 1173 for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp := missQueue.io.resp } 1174 mainPipe.io.miss_resp := missQueue.io.resp 1175 1176 if(StorePrefetchL1Enabled) { 1177 for (w <- 0 until StorePipelineWidth) { missReqArb.io.in(w + 1 + LoadPipelineWidth) <> stu(w).io.miss_req } 1178 }else { 1179 for (w <- 0 until StorePipelineWidth) { stu(w).io.miss_req.ready := false.B } 1180 } 1181 1182 wb.io.miss_req.valid := missReqArb.io.out.valid 1183 wb.io.miss_req.bits := missReqArb.io.out.bits.addr 1184 1185 // block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req) 1186 missReqArb.io.out <> missQueue.io.req 1187 when(wb.io.block_miss_req) { 1188 missQueue.io.req.bits.cancel := true.B 1189 missReqArb.io.out.ready := false.B 1190 } 1191 1192 for (w <- 0 until LoadPipelineWidth) { ldu(w).io.mq_enq_cancel := missQueue.io.mq_enq_cancel } 1193 1194 XSPerfAccumulate("miss_queue_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) >= 1.U) 1195 XSPerfAccumulate("miss_queue_muti_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) > 1.U) 1196 1197 XSPerfAccumulate("miss_queue_has_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) >= 1.U) 1198 XSPerfAccumulate("miss_queue_has_muti_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U) 1199 XSPerfAccumulate("miss_queue_has_muti_enq_but_not_fire", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U && PopCount(VecInit(missReqArb.io.in.map(_.fire))) === 0.U) 1200 1201 // forward missqueue 1202 (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i))) 1203 1204 // refill to load queue 1205 // io.lsu.lsq <> missQueue.io.refill_to_ldq 1206 1207 // tilelink stuff 1208 bus.a <> missQueue.io.mem_acquire 1209 bus.e <> missQueue.io.mem_finish 1210 missQueue.io.probe_addr := bus.b.bits.address 1211 1212 missQueue.io.main_pipe_resp := RegNext(mainPipe.io.atomic_resp) 1213 1214 //---------------------------------------- 1215 // probe 1216 // probeQueue.io.mem_probe <> bus.b 1217 block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 1218 probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 1219 probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set 1220 1221 //---------------------------------------- 1222 // mainPipe 1223 // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe, 1224 // block the req in main pipe 1225 block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, missQueue.io.refill_pipe_req.valid) 1226 block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refillPipe.io.req.valid) 1227 1228 io.lsu.store.replay_resp := RegNext(mainPipe.io.store_replay_resp) 1229 io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp 1230 1231 arbiter_with_pipereg( 1232 in = Seq(missQueue.io.main_pipe_req, io.lsu.atomics.req), 1233 out = mainPipe.io.atomic_req, 1234 name = Some("main_pipe_atomic_req") 1235 ) 1236 1237 mainPipe.io.invalid_resv_set := RegNext(wb.io.req.fire && wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits) 1238 1239 //---------------------------------------- 1240 // replace (main pipe) 1241 val mpStatus = mainPipe.io.status 1242 mainPipe.io.replace_req <> missQueue.io.replace_pipe_req 1243 missQueue.io.replace_pipe_resp := mainPipe.io.replace_resp 1244 1245 //---------------------------------------- 1246 // refill pipe 1247 val refillShouldBeBlocked = (mpStatus.s1.valid && mpStatus.s1.bits.set === missQueue.io.refill_pipe_req.bits.idx) || 1248 Cat(Seq(mpStatus.s2, mpStatus.s3).map(s => 1249 s.valid && 1250 s.bits.set === missQueue.io.refill_pipe_req.bits.idx && 1251 s.bits.way_en === missQueue.io.refill_pipe_req.bits.way_en 1252 )).orR 1253 block_decoupled(missQueue.io.refill_pipe_req, refillPipe.io.req, refillShouldBeBlocked) 1254 1255 val mpStatus_dup = mainPipe.io.status_dup 1256 val mq_refill_dup = missQueue.io.refill_pipe_req_dup 1257 val refillShouldBeBlocked_dup = VecInit((0 until nDupStatus).map { case i => 1258 mpStatus_dup(i).s1.valid && mpStatus_dup(i).s1.bits.set === mq_refill_dup(i).bits.idx || 1259 Cat(Seq(mpStatus_dup(i).s2, mpStatus_dup(i).s3).map(s => 1260 s.valid && 1261 s.bits.set === mq_refill_dup(i).bits.idx && 1262 s.bits.way_en === mq_refill_dup(i).bits.way_en 1263 )).orR 1264 }) 1265 dontTouch(refillShouldBeBlocked_dup) 1266 1267 refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) => 1268 r.bits := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).bits 1269 } 1270 refillPipe.io.req_dup_for_meta_w.bits := mq_refill_dup(metaWritePort).bits 1271 refillPipe.io.req_dup_for_tag_w.bits := mq_refill_dup(tagWritePort).bits 1272 refillPipe.io.req_dup_for_err_w.bits := mq_refill_dup(errWritePort).bits 1273 refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) => 1274 r.valid := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).valid && 1275 !(refillShouldBeBlocked_dup.drop(dataWritePort).take(DCacheBanks))(i) 1276 } 1277 refillPipe.io.req_dup_for_meta_w.valid := mq_refill_dup(metaWritePort).valid && !refillShouldBeBlocked_dup(metaWritePort) 1278 refillPipe.io.req_dup_for_tag_w.valid := mq_refill_dup(tagWritePort).valid && !refillShouldBeBlocked_dup(tagWritePort) 1279 refillPipe.io.req_dup_for_err_w.valid := mq_refill_dup(errWritePort).valid && !refillShouldBeBlocked_dup(errWritePort) 1280 1281 val refillPipe_io_req_valid_dup = VecInit(mq_refill_dup.zip(refillShouldBeBlocked_dup).map( 1282 x => x._1.valid && !x._2 1283 )) 1284 val refillPipe_io_data_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(0, nDupDataWriteReady)) 1285 val refillPipe_io_tag_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(nDupDataWriteReady, nDupStatus)) 1286 dontTouch(refillPipe_io_req_valid_dup) 1287 dontTouch(refillPipe_io_data_write_valid_dup) 1288 dontTouch(refillPipe_io_tag_write_valid_dup) 1289 mainPipe.io.data_write_ready_dup := VecInit(refillPipe_io_data_write_valid_dup.map(v => !v)) 1290 mainPipe.io.tag_write_ready_dup := VecInit(refillPipe_io_tag_write_valid_dup.map(v => !v)) 1291 mainPipe.io.wb_ready_dup := wb.io.req_ready_dup 1292 1293 mq_refill_dup.zip(refillShouldBeBlocked_dup).foreach { case (r, block) => 1294 r.ready := refillPipe.io.req.ready && !block 1295 } 1296 1297 missQueue.io.refill_pipe_resp := refillPipe.io.resp 1298 io.lsu.store.refill_hit_resp := RegNext(refillPipe.io.store_resp) 1299 1300 //---------------------------------------- 1301 // wb 1302 // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 1303 1304 wb.io.req <> mainPipe.io.wb 1305 bus.c <> wb.io.mem_release 1306 wb.io.release_wakeup := refillPipe.io.release_wakeup 1307 wb.io.release_update := mainPipe.io.release_update 1308 wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req 1309 wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp 1310 1311 io.lsu.release.valid := RegNext(wb.io.req.fire) 1312 io.lsu.release.bits.paddr := RegNext(wb.io.req.bits.addr) 1313 // Note: RegNext() is required by: 1314 // * load queue released flag update logic 1315 // * load / load violation check logic 1316 // * and timing requirements 1317 // CHANGE IT WITH CARE 1318 1319 // connect bus d 1320 missQueue.io.mem_grant.valid := false.B 1321 missQueue.io.mem_grant.bits := DontCare 1322 1323 wb.io.mem_grant.valid := false.B 1324 wb.io.mem_grant.bits := DontCare 1325 1326 // in L1DCache, we ony expect Grant[Data] and ReleaseAck 1327 bus.d.ready := false.B 1328 when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) { 1329 missQueue.io.mem_grant <> bus.d 1330 } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 1331 wb.io.mem_grant <> bus.d 1332 } .otherwise { 1333 assert (!bus.d.fire) 1334 } 1335 1336 //---------------------------------------- 1337 // Feedback Direct Prefetch Monitor 1338 fdpMonitor.io.refill := missQueue.io.prefetch_info.fdp.prefetch_monitor_cnt 1339 fdpMonitor.io.timely.late_prefetch := missQueue.io.prefetch_info.fdp.late_miss_prefetch 1340 fdpMonitor.io.accuracy.total_prefetch := missQueue.io.prefetch_info.fdp.total_prefetch 1341 for (w <- 0 until LoadPipelineWidth) { 1342 if(w == 0) { 1343 fdpMonitor.io.accuracy.useful_prefetch(w) := ldu(w).io.prefetch_info.fdp.useful_prefetch 1344 }else { 1345 fdpMonitor.io.accuracy.useful_prefetch(w) := Mux(same_cycle_update_pf_flag, false.B, ldu(w).io.prefetch_info.fdp.useful_prefetch) 1346 } 1347 } 1348 for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.cache_pollution(w) := ldu(w).io.prefetch_info.fdp.pollution } 1349 for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.demand_miss(w) := ldu(w).io.prefetch_info.fdp.demand_miss } 1350 fdpMonitor.io.debugRolling := io.debugRolling 1351 1352 //---------------------------------------- 1353 // Bloom Filter 1354 bloomFilter.io.set <> missQueue.io.bloom_filter_query.set 1355 bloomFilter.io.clr <> missQueue.io.bloom_filter_query.clr 1356 1357 for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.query(w) <> ldu(w).io.bloom_filter_query.query } 1358 for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.resp(w) <> ldu(w).io.bloom_filter_query.resp } 1359 1360 for (w <- 0 until LoadPipelineWidth) { counterFilter.io.ld_in(w) <> ldu(w).io.counter_filter_enq } 1361 for (w <- 0 until LoadPipelineWidth) { counterFilter.io.query(w) <> ldu(w).io.counter_filter_query } 1362 1363 //---------------------------------------- 1364 // replacement algorithm 1365 val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets) 1366 val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) ++ stu.map(_.io.replace_way) 1367 1368 val victimList = VictimList(nSets) 1369 if (dwpuParam.enCfPred) { 1370 when(missQueue.io.replace_pipe_req.valid) { 1371 victimList.replace(get_idx(missQueue.io.replace_pipe_req.bits.vaddr)) 1372 } 1373 replWayReqs.foreach { 1374 case req => 1375 req.way := DontCare 1376 when(req.set.valid) { 1377 when(victimList.whether_sa(req.set.bits)) { 1378 req.way := replacer.way(req.set.bits) 1379 }.otherwise { 1380 req.way := req.dmWay 1381 } 1382 } 1383 } 1384 } else { 1385 replWayReqs.foreach { 1386 case req => 1387 req.way := DontCare 1388 when(req.set.valid) { 1389 req.way := replacer.way(req.set.bits) 1390 } 1391 } 1392 } 1393 1394 val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq( 1395 mainPipe.io.replace_access 1396 ) ++ stu.map(_.io.replace_access) 1397 val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W)))) 1398 touchWays.zip(replAccessReqs).foreach { 1399 case (w, req) => 1400 w.valid := req.valid 1401 w.bits := req.bits.way 1402 } 1403 val touchSets = replAccessReqs.map(_.bits.set) 1404 replacer.access(touchSets, touchWays) 1405 1406 //---------------------------------------- 1407 // assertions 1408 // dcache should only deal with DRAM addresses 1409 when (bus.a.fire) { 1410 assert(bus.a.bits.address >= 0x80000000L.U) 1411 } 1412 when (bus.b.fire) { 1413 assert(bus.b.bits.address >= 0x80000000L.U) 1414 } 1415 when (bus.c.fire) { 1416 assert(bus.c.bits.address >= 0x80000000L.U) 1417 } 1418 1419 //---------------------------------------- 1420 // utility functions 1421 def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 1422 sink.valid := source.valid && !block_signal 1423 source.ready := sink.ready && !block_signal 1424 sink.bits := source.bits 1425 } 1426 1427 //---------------------------------------- 1428 // Customized csr cache op support 1429 val cacheOpDecoder = Module(new CSRCacheOpDecoder("dcache", CacheInstrucion.COP_ID_DCACHE)) 1430 cacheOpDecoder.io.csr <> io.csr 1431 bankedDataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1432 // dup cacheOp_req_valid 1433 bankedDataArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1434 // dup cacheOp_req_bits_opCode 1435 bankedDataArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1436 1437 tagArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1438 // dup cacheOp_req_valid 1439 tagArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1440 // dup cacheOp_req_bits_opCode 1441 tagArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1442 1443 cacheOpDecoder.io.cache.resp.valid := bankedDataArray.io.cacheOp.resp.valid || 1444 tagArray.io.cacheOp.resp.valid 1445 cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 1446 bankedDataArray.io.cacheOp.resp.valid -> bankedDataArray.io.cacheOp.resp.bits, 1447 tagArray.io.cacheOp.resp.valid -> tagArray.io.cacheOp.resp.bits, 1448 )) 1449 cacheOpDecoder.io.error := io.error 1450 assert(!((bankedDataArray.io.cacheOp.resp.valid +& tagArray.io.cacheOp.resp.valid) > 1.U)) 1451 1452 //---------------------------------------- 1453 // performance counters 1454 val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire)) 1455 XSPerfAccumulate("num_loads", num_loads) 1456 1457 io.mshrFull := missQueue.io.full 1458 1459 // performance counter 1460 val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType)) 1461 val st_access = Wire(ld_access.last.cloneType) 1462 ld_access.zip(ldu).foreach { 1463 case (a, u) => 1464 a.valid := RegNext(u.io.lsu.req.fire) && !u.io.lsu.s1_kill 1465 a.bits.idx := RegNext(get_idx(u.io.lsu.req.bits.vaddr)) 1466 a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache) 1467 } 1468 st_access.valid := RegNext(mainPipe.io.store_req.fire) 1469 st_access.bits.idx := RegNext(get_idx(mainPipe.io.store_req.bits.vaddr)) 1470 st_access.bits.tag := RegNext(get_tag(mainPipe.io.store_req.bits.addr)) 1471 val access_info = ld_access.toSeq ++ Seq(st_access) 1472 val early_replace = RegNext(missQueue.io.debug_early_replace) 1473 val access_early_replace = access_info.map { 1474 case acc => 1475 Cat(early_replace.map { 1476 case r => 1477 acc.valid && r.valid && 1478 acc.bits.tag === r.bits.tag && 1479 acc.bits.idx === r.bits.idx 1480 }) 1481 } 1482 XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace))) 1483 1484 val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents) 1485 generatePerfEvent() 1486} 1487 1488class AMOHelper() extends ExtModule { 1489 val clock = IO(Input(Clock())) 1490 val enable = IO(Input(Bool())) 1491 val cmd = IO(Input(UInt(5.W))) 1492 val addr = IO(Input(UInt(64.W))) 1493 val wdata = IO(Input(UInt(64.W))) 1494 val mask = IO(Input(UInt(8.W))) 1495 val rdata = IO(Output(UInt(64.W))) 1496} 1497 1498class DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 1499 override def shouldBeInlined: Boolean = false 1500 1501 val useDcache = coreParams.dcacheParametersOpt.nonEmpty 1502 val clientNode = if (useDcache) TLIdentityNode() else null 1503 val dcache = if (useDcache) LazyModule(new DCache()) else null 1504 if (useDcache) { 1505 clientNode := dcache.clientNode 1506 } 1507 1508 class DCacheWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents { 1509 val io = IO(new DCacheIO) 1510 val perfEvents = if (!useDcache) { 1511 // a fake dcache which uses dpi-c to access memory, only for debug usage! 1512 val fake_dcache = Module(new FakeDCache()) 1513 io <> fake_dcache.io 1514 Seq() 1515 } 1516 else { 1517 io <> dcache.module.io 1518 dcache.module.getPerfEvents 1519 } 1520 generatePerfEvent() 1521 } 1522 1523 lazy val module = new DCacheWrapperImp(this) 1524} 1525