1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.experimental.ExtModule 22import chisel3.util._ 23import xiangshan._ 24import utils._ 25import utility._ 26import freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 27import freechips.rocketchip.tilelink._ 28import freechips.rocketchip.util.{BundleFieldBase, UIntToOH1} 29import device.RAMHelper 30import coupledL2.{AliasField, AliasKey, DirtyField, PrefetchField} 31import utility.ReqSourceField 32import utility.FastArbiter 33import mem.AddPipelineReg 34import xiangshan.cache.wpu._ 35 36import scala.math.max 37 38// DCache specific parameters 39case class DCacheParameters 40( 41 nSets: Int = 256, 42 nWays: Int = 8, 43 rowBits: Int = 64, 44 tagECC: Option[String] = None, 45 dataECC: Option[String] = None, 46 replacer: Option[String] = Some("setplru"), 47 updateReplaceOn2ndmiss: Boolean = true, 48 nMissEntries: Int = 1, 49 nProbeEntries: Int = 1, 50 nReleaseEntries: Int = 1, 51 nMMIOEntries: Int = 1, 52 nMMIOs: Int = 1, 53 blockBytes: Int = 64, 54 alwaysReleaseData: Boolean = false 55) extends L1CacheParameters { 56 // if sets * blockBytes > 4KB(page size), 57 // cache alias will happen, 58 // we need to avoid this by recoding additional bits in L2 cache 59 val setBytes = nSets * blockBytes 60 val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 61 val reqFields: Seq[BundleFieldBase] = Seq( 62 PrefetchField(), 63 ReqSourceField() 64 ) ++ aliasBitsOpt.map(AliasField) 65 val echoFields: Seq[BundleFieldBase] = Nil 66 67 def tagCode: Code = Code.fromString(tagECC) 68 69 def dataCode: Code = Code.fromString(dataECC) 70} 71 72// Physical Address 73// -------------------------------------- 74// | Physical Tag | PIndex | Offset | 75// -------------------------------------- 76// | 77// DCacheTagOffset 78// 79// Virtual Address 80// -------------------------------------- 81// | Above index | Set | Bank | Offset | 82// -------------------------------------- 83// | | | | 84// | | | 0 85// | | DCacheBankOffset 86// | DCacheSetOffset 87// DCacheAboveIndexOffset 88 89// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 90 91trait HasDCacheParameters extends HasL1CacheParameters { 92 val cacheParams = dcacheParameters 93 val cfg = cacheParams 94 95 def encWordBits = cacheParams.dataCode.width(wordBits) 96 97 def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 98 def eccBits = encWordBits - wordBits 99 100 def encTagBits = cacheParams.tagCode.width(tagBits) 101 def eccTagBits = encTagBits - tagBits 102 103 def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 104 105 def nSourceType = 10 106 def sourceTypeWidth = log2Up(nSourceType) 107 // non-prefetch source < 3 108 def LOAD_SOURCE = 0 109 def STORE_SOURCE = 1 110 def AMO_SOURCE = 2 111 // prefetch source >= 3 112 def DCACHE_PREFETCH_SOURCE = 3 113 def SOFT_PREFETCH = 4 114 def HW_PREFETCH_AGT = 5 115 def HW_PREFETCH_PHT_CUR = 6 116 def HW_PREFETCH_PHT_INC = 7 117 def HW_PREFETCH_PHT_DEC = 8 118 def HW_PREFETCH_BOP = 9 119 def HW_PREFETCH_STRIDE = 10 120 121 // each source use a id to distinguish its multiple reqs 122 def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize) 123 124 require(isPow2(cfg.nMissEntries)) // TODO 125 // require(isPow2(cfg.nReleaseEntries)) 126 require(cfg.nMissEntries < cfg.nReleaseEntries) 127 val nEntries = cfg.nMissEntries + cfg.nReleaseEntries 128 val releaseIdBase = cfg.nMissEntries 129 130 // banked dcache support 131 val DCacheSetDiv = 1 132 val DCacheSets = cacheParams.nSets 133 val DCacheWays = cacheParams.nWays 134 val DCacheBanks = 8 // hardcoded 135 val DCacheDupNum = 16 136 val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded 137 val DCacheWordBits = 64 // hardcoded 138 val DCacheWordBytes = DCacheWordBits / 8 139 val DCacheVWordBytes = VLEN / 8 140 require(DCacheSRAMRowBits == 64) 141 142 val DCacheSetDivBits = log2Ceil(DCacheSetDiv) 143 val DCacheSetBits = log2Ceil(DCacheSets) 144 val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 145 val DCacheSizeBytes = DCacheSizeBits / 8 146 val DCacheSizeWords = DCacheSizeBits / 64 // TODO 147 148 val DCacheSameVPAddrLength = 12 149 150 val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 151 val DCacheWordOffset = log2Up(DCacheWordBytes) 152 val DCacheVWordOffset = log2Up(DCacheVWordBytes) 153 154 val DCacheBankOffset = log2Up(DCacheSRAMRowBytes) 155 val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 156 val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 157 val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 158 val DCacheLineOffset = DCacheSetOffset 159 160 // uncache 161 val uncacheIdxBits = log2Up(StoreQueueSize + 1) max log2Up(VirtualLoadQueueSize + 1) 162 // hardware prefetch parameters 163 // high confidence hardware prefetch port 164 val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default 165 val IgnorePrefetchConfidence = false 166 167 // parameters about duplicating regs to solve fanout 168 // In Main Pipe: 169 // tag_write.ready -> data_write.valid * 8 banks 170 // tag_write.ready -> meta_write.valid 171 // tag_write.ready -> tag_write.valid 172 // tag_write.ready -> err_write.valid 173 // tag_write.ready -> wb.valid 174 val nDupTagWriteReady = DCacheBanks + 4 175 // In Main Pipe: 176 // data_write.ready -> data_write.valid * 8 banks 177 // data_write.ready -> meta_write.valid 178 // data_write.ready -> tag_write.valid 179 // data_write.ready -> err_write.valid 180 // data_write.ready -> wb.valid 181 val nDupDataWriteReady = DCacheBanks + 4 182 val nDupWbReady = DCacheBanks + 4 183 val nDupStatus = nDupTagWriteReady + nDupDataWriteReady 184 val dataWritePort = 0 185 val metaWritePort = DCacheBanks 186 val tagWritePort = metaWritePort + 1 187 val errWritePort = tagWritePort + 1 188 val wbPort = errWritePort + 1 189 190 def set_to_dcache_div(set: UInt) = { 191 require(set.getWidth >= DCacheSetBits) 192 if (DCacheSetDivBits == 0) 0.U else set(DCacheSetDivBits-1, 0) 193 } 194 195 def set_to_dcache_div_set(set: UInt) = { 196 require(set.getWidth >= DCacheSetBits) 197 set(DCacheSetBits - 1, DCacheSetDivBits) 198 } 199 200 def addr_to_dcache_bank(addr: UInt) = { 201 require(addr.getWidth >= DCacheSetOffset) 202 addr(DCacheSetOffset-1, DCacheBankOffset) 203 } 204 205 def addr_to_dcache_div(addr: UInt) = { 206 require(addr.getWidth >= DCacheAboveIndexOffset) 207 if(DCacheSetDivBits == 0) 0.U else addr(DCacheSetOffset + DCacheSetDivBits - 1, DCacheSetOffset) 208 } 209 210 def addr_to_dcache_div_set(addr: UInt) = { 211 require(addr.getWidth >= DCacheAboveIndexOffset) 212 addr(DCacheAboveIndexOffset - 1, DCacheSetOffset + DCacheSetDivBits) 213 } 214 215 def addr_to_dcache_set(addr: UInt) = { 216 require(addr.getWidth >= DCacheAboveIndexOffset) 217 addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 218 } 219 220 def get_data_of_bank(bank: Int, data: UInt) = { 221 require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 222 data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 223 } 224 225 def get_mask_of_bank(bank: Int, data: UInt) = { 226 require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 227 data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 228 } 229 230 def get_direct_map_way(addr:UInt): UInt = { 231 addr(DCacheAboveIndexOffset + log2Up(DCacheWays) - 1, DCacheAboveIndexOffset) 232 } 233 234 def arbiter[T <: Bundle]( 235 in: Seq[DecoupledIO[T]], 236 out: DecoupledIO[T], 237 name: Option[String] = None): Unit = { 238 val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 239 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 240 for ((a, req) <- arb.io.in.zip(in)) { 241 a <> req 242 } 243 out <> arb.io.out 244 } 245 246 def arbiter_with_pipereg[T <: Bundle]( 247 in: Seq[DecoupledIO[T]], 248 out: DecoupledIO[T], 249 name: Option[String] = None): Unit = { 250 val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 251 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 252 for ((a, req) <- arb.io.in.zip(in)) { 253 a <> req 254 } 255 AddPipelineReg(arb.io.out, out, false.B) 256 } 257 258 def arbiter_with_pipereg_N_dup[T <: Bundle]( 259 in: Seq[DecoupledIO[T]], 260 out: DecoupledIO[T], 261 dups: Seq[DecoupledIO[T]], 262 name: Option[String] = None): Unit = { 263 val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 264 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 265 for ((a, req) <- arb.io.in.zip(in)) { 266 a <> req 267 } 268 for (dup <- dups) { 269 AddPipelineReg(arb.io.out, dup, false.B) 270 } 271 AddPipelineReg(arb.io.out, out, false.B) 272 } 273 274 def rrArbiter[T <: Bundle]( 275 in: Seq[DecoupledIO[T]], 276 out: DecoupledIO[T], 277 name: Option[String] = None): Unit = { 278 val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size)) 279 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 280 for ((a, req) <- arb.io.in.zip(in)) { 281 a <> req 282 } 283 out <> arb.io.out 284 } 285 286 def fastArbiter[T <: Bundle]( 287 in: Seq[DecoupledIO[T]], 288 out: DecoupledIO[T], 289 name: Option[String] = None): Unit = { 290 val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size)) 291 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 292 for ((a, req) <- arb.io.in.zip(in)) { 293 a <> req 294 } 295 out <> arb.io.out 296 } 297 298 val numReplaceRespPorts = 2 299 300 require(isPow2(nSets), s"nSets($nSets) must be pow2") 301 require(isPow2(nWays), s"nWays($nWays) must be pow2") 302 require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 303 require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 304} 305 306abstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 307 with HasDCacheParameters 308 309abstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 310 with HasDCacheParameters 311 312class ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 313 val set = UInt(log2Up(nSets).W) 314 val way = UInt(log2Up(nWays).W) 315} 316 317class ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle { 318 val set = ValidIO(UInt(log2Up(nSets).W)) 319 val dmWay = Output(UInt(log2Up(nWays).W)) 320 val way = Input(UInt(log2Up(nWays).W)) 321} 322 323class DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle 324{ 325 val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store 326 val prefetch = Bool() // cache line is first required by prefetch 327 val access = Bool() // cache line has been accessed by load / store 328 329 // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline 330} 331 332// memory request in word granularity(load, mmio, lr/sc, atomics) 333class DCacheWordReq(implicit p: Parameters) extends DCacheBundle 334{ 335 val cmd = UInt(M_SZ.W) 336 val vaddr = UInt(VAddrBits.W) 337 val data = UInt(VLEN.W) 338 val mask = UInt((VLEN/8).W) 339 val id = UInt(reqIdWidth.W) 340 val instrtype = UInt(sourceTypeWidth.W) 341 val isFirstIssue = Bool() 342 val replayCarry = new ReplayCarry(nWays) 343 344 val debug_robIdx = UInt(log2Ceil(RobSize).W) 345 def dump() = { 346 XSDebug("DCacheWordReq: cmd: %x vaddr: %x data: %x mask: %x id: %d\n", 347 cmd, vaddr, data, mask, id) 348 } 349} 350 351// memory request in word granularity(store) 352class DCacheLineReq(implicit p: Parameters) extends DCacheBundle 353{ 354 val cmd = UInt(M_SZ.W) 355 val vaddr = UInt(VAddrBits.W) 356 val addr = UInt(PAddrBits.W) 357 val data = UInt((cfg.blockBytes * 8).W) 358 val mask = UInt(cfg.blockBytes.W) 359 val id = UInt(reqIdWidth.W) 360 def dump() = { 361 XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 362 cmd, addr, data, mask, id) 363 } 364 def idx: UInt = get_idx(vaddr) 365} 366 367class DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 368 val addr = UInt(PAddrBits.W) 369 val wline = Bool() 370} 371 372class BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle 373{ 374 // read in s2 375 val data = UInt(VLEN.W) 376 // select in s3 377 val data_delayed = UInt(VLEN.W) 378 val id = UInt(reqIdWidth.W) 379 // cache req missed, send it to miss queue 380 val miss = Bool() 381 // cache miss, and failed to enter the missqueue, replay from RS is needed 382 val replay = Bool() 383 val replayCarry = new ReplayCarry(nWays) 384 // data has been corrupted 385 val tag_error = Bool() // tag error 386 val mshr_id = UInt(log2Up(cfg.nMissEntries).W) 387 388 val debug_robIdx = UInt(log2Ceil(RobSize).W) 389 def dump() = { 390 XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 391 data, id, miss, replay) 392 } 393} 394 395class DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp 396{ 397 val meta_prefetch = Bool() 398 val meta_access = Bool() 399 // s2 400 val handled = Bool() 401 // s3: 1 cycle after data resp 402 val error_delayed = Bool() // all kinds of errors, include tag error 403 val replacementUpdated = Bool() 404} 405 406class BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp 407{ 408 val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W)) 409 val bank_oh = UInt(DCacheBanks.W) 410} 411 412class DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp 413{ 414 val error = Bool() // all kinds of errors, include tag error 415} 416 417class DCacheLineResp(implicit p: Parameters) extends DCacheBundle 418{ 419 val data = UInt((cfg.blockBytes * 8).W) 420 // cache req missed, send it to miss queue 421 val miss = Bool() 422 // cache req nacked, replay it later 423 val replay = Bool() 424 val id = UInt(reqIdWidth.W) 425 def dump() = { 426 XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 427 data, id, miss, replay) 428 } 429} 430 431class Refill(implicit p: Parameters) extends DCacheBundle 432{ 433 val addr = UInt(PAddrBits.W) 434 val data = UInt(l1BusDataWidth.W) 435 val error = Bool() // refilled data has been corrupted 436 // for debug usage 437 val data_raw = UInt((cfg.blockBytes * 8).W) 438 val hasdata = Bool() 439 val refill_done = Bool() 440 def dump() = { 441 XSDebug("Refill: addr: %x data: %x\n", addr, data) 442 } 443 val id = UInt(log2Up(cfg.nMissEntries).W) 444} 445 446class Release(implicit p: Parameters) extends DCacheBundle 447{ 448 val paddr = UInt(PAddrBits.W) 449 def dump() = { 450 XSDebug("Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset)) 451 } 452} 453 454class DCacheWordIO(implicit p: Parameters) extends DCacheBundle 455{ 456 val req = DecoupledIO(new DCacheWordReq) 457 val resp = Flipped(DecoupledIO(new DCacheWordResp)) 458} 459 460 461class UncacheWordReq(implicit p: Parameters) extends DCacheBundle 462{ 463 val cmd = UInt(M_SZ.W) 464 val addr = UInt(PAddrBits.W) 465 val data = UInt(XLEN.W) 466 val mask = UInt((XLEN/8).W) 467 val id = UInt(uncacheIdxBits.W) 468 val instrtype = UInt(sourceTypeWidth.W) 469 val atomic = Bool() 470 val isFirstIssue = Bool() 471 val replayCarry = new ReplayCarry(nWays) 472 473 def dump() = { 474 XSDebug("UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 475 cmd, addr, data, mask, id) 476 } 477} 478 479class UncacheWordResp(implicit p: Parameters) extends DCacheBundle 480{ 481 val data = UInt(XLEN.W) 482 val data_delayed = UInt(XLEN.W) 483 val id = UInt(uncacheIdxBits.W) 484 val miss = Bool() 485 val replay = Bool() 486 val tag_error = Bool() 487 val error = Bool() 488 val replayCarry = new ReplayCarry(nWays) 489 val mshr_id = UInt(log2Up(cfg.nMissEntries).W) // FIXME: why uncacheWordResp is not merged to baseDcacheResp 490 491 val debug_robIdx = UInt(log2Ceil(RobSize).W) 492 def dump() = { 493 XSDebug("UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n", 494 data, id, miss, replay, tag_error, error) 495 } 496} 497 498class UncacheWordIO(implicit p: Parameters) extends DCacheBundle 499{ 500 val req = DecoupledIO(new UncacheWordReq) 501 val resp = Flipped(DecoupledIO(new UncacheWordResp)) 502} 503 504class AtomicsResp(implicit p: Parameters) extends DCacheBundle { 505 val data = UInt(DataBits.W) 506 val miss = Bool() 507 val miss_id = UInt(log2Up(cfg.nMissEntries).W) 508 val replay = Bool() 509 val error = Bool() 510 511 val ack_miss_queue = Bool() 512 513 val id = UInt(reqIdWidth.W) 514} 515 516class AtomicWordIO(implicit p: Parameters) extends DCacheBundle 517{ 518 val req = DecoupledIO(new MainPipeReq) 519 val resp = Flipped(ValidIO(new AtomicsResp)) 520 val block_lr = Input(Bool()) 521} 522 523// used by load unit 524class DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 525{ 526 // kill previous cycle's req 527 val s1_kill = Output(Bool()) 528 val s2_kill = Output(Bool()) 529 val s0_pc = Output(UInt(VAddrBits.W)) 530 val s1_pc = Output(UInt(VAddrBits.W)) 531 val s2_pc = Output(UInt(VAddrBits.W)) 532 // cycle 0: load has updated replacement before 533 val replacementUpdated = Output(Bool()) 534 // cycle 0: virtual address: req.addr 535 // cycle 1: physical address: s1_paddr 536 val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr 537 val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr 538 val s1_disable_fast_wakeup = Input(Bool()) 539 // cycle 2: hit signal 540 val s2_hit = Input(Bool()) // hit signal for lsu, 541 val s2_first_hit = Input(Bool()) 542 val s2_bank_conflict = Input(Bool()) 543 val s2_wpu_pred_fail = Input(Bool()) 544 val s2_mq_nack = Input(Bool()) 545 546 // debug 547 val debug_s1_hit_way = Input(UInt(nWays.W)) 548 val debug_s2_pred_way_num = Input(UInt(XLEN.W)) 549 val debug_s2_dm_way_num = Input(UInt(XLEN.W)) 550 val debug_s2_real_way_num = Input(UInt(XLEN.W)) 551} 552 553class DCacheLineIO(implicit p: Parameters) extends DCacheBundle 554{ 555 val req = DecoupledIO(new DCacheLineReq) 556 val resp = Flipped(DecoupledIO(new DCacheLineResp)) 557} 558 559class DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle { 560 // sbuffer will directly send request to dcache main pipe 561 val req = Flipped(Decoupled(new DCacheLineReq)) 562 563 val main_pipe_hit_resp = ValidIO(new DCacheLineResp) 564 val refill_hit_resp = ValidIO(new DCacheLineResp) 565 566 val replay_resp = ValidIO(new DCacheLineResp) 567 568 def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp) 569} 570 571// forward tilelink channel D's data to ldu 572class DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle { 573 val valid = Bool() 574 val data = UInt(l1BusDataWidth.W) 575 val mshrid = UInt(log2Up(cfg.nMissEntries).W) 576 val last = Bool() 577 578 def apply(req_valid : Bool, req_data : UInt, req_mshrid : UInt, req_last : Bool) = { 579 valid := req_valid 580 data := req_data 581 mshrid := req_mshrid 582 last := req_last 583 } 584 585 def dontCare() = { 586 valid := false.B 587 data := DontCare 588 mshrid := DontCare 589 last := DontCare 590 } 591 592 def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = { 593 val all_match = req_valid && valid && 594 req_mshr_id === mshrid && 595 req_paddr(log2Up(refillBytes)) === last 596 597 val forward_D = RegInit(false.B) 598 val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 599 600 val block_idx = req_paddr(log2Up(refillBytes) - 1, 3) 601 val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W))) 602 (0 until l1BusDataWidth / 64).map(i => { 603 block_data(i) := data(64 * i + 63, 64 * i) 604 }) 605 val selected_data = Wire(UInt(128.W)) 606 selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 607 608 forward_D := all_match 609 for (i <- 0 until VLEN/8) { 610 forwardData(i) := selected_data(8 * i + 7, 8 * i) 611 } 612 613 (forward_D, forwardData) 614 } 615} 616 617class MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle { 618 val inflight = Bool() 619 val paddr = UInt(PAddrBits.W) 620 val raw_data = Vec(blockBytes/beatBytes, UInt(beatBits.W)) 621 val firstbeat_valid = Bool() 622 val lastbeat_valid = Bool() 623 624 def apply(mshr_valid : Bool, mshr_paddr : UInt, mshr_rawdata : Vec[UInt], mshr_first_valid : Bool, mshr_last_valid : Bool) = { 625 inflight := mshr_valid 626 paddr := mshr_paddr 627 raw_data := mshr_rawdata 628 firstbeat_valid := mshr_first_valid 629 lastbeat_valid := mshr_last_valid 630 } 631 632 // check if we can forward from mshr or D channel 633 def check(req_valid : Bool, req_paddr : UInt) = { 634 RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits)) 635 } 636 637 def forward(req_valid : Bool, req_paddr : UInt) = { 638 val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) || 639 (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid) 640 641 val forward_mshr = RegInit(false.B) 642 val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 643 644 val beat_data = raw_data(req_paddr(log2Up(refillBytes))) 645 val block_idx = req_paddr(log2Up(refillBytes) - 1, 3) 646 val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W))) 647 (0 until l1BusDataWidth / 64).map(i => { 648 block_data(i) := beat_data(64 * i + 63, 64 * i) 649 }) 650 val selected_data = Wire(UInt(128.W)) 651 selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 652 653 forward_mshr := all_match 654 for (i <- 0 until VLEN/8) { 655 forwardData(i) := selected_data(8 * i + 7, 8 * i) 656 } 657 658 (forward_mshr, forwardData) 659 } 660} 661 662// forward mshr's data to ldu 663class LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle { 664 // req 665 val valid = Input(Bool()) 666 val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W)) 667 val paddr = Input(UInt(PAddrBits.W)) 668 // resp 669 val forward_mshr = Output(Bool()) 670 val forwardData = Output(Vec(VLEN/8, UInt(8.W))) 671 val forward_result_valid = Output(Bool()) 672 673 def connect(sink: LduToMissqueueForwardIO) = { 674 sink.valid := valid 675 sink.mshrid := mshrid 676 sink.paddr := paddr 677 forward_mshr := sink.forward_mshr 678 forwardData := sink.forwardData 679 forward_result_valid := sink.forward_result_valid 680 } 681 682 def forward() = { 683 (forward_result_valid, forward_mshr, forwardData) 684 } 685} 686 687class DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 688 val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 689 val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 690 val tl_d_channel = Output(new DcacheToLduForwardIO) 691 val store = new DCacheToSbufferIO // for sbuffer 692 val atomics = Flipped(new AtomicWordIO) // atomics reqs 693 val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check 694 val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO)) 695 val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO) 696} 697 698class DCacheIO(implicit p: Parameters) extends DCacheBundle { 699 val hartId = Input(UInt(8.W)) 700 val l2_pf_store_only = Input(Bool()) 701 val lsu = new DCacheToLsuIO 702 val csr = new L1CacheToCsrIO 703 val error = new L1CacheErrorInfo 704 val mshrFull = Output(Bool()) 705 val force_write = Input(Bool()) 706} 707 708 709class DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 710 711 val clientParameters = TLMasterPortParameters.v1( 712 Seq(TLMasterParameters.v1( 713 name = "dcache", 714 sourceId = IdRange(0, nEntries + 1), 715 supportsProbe = TransferSizes(cfg.blockBytes) 716 )), 717 requestFields = cacheParams.reqFields, 718 echoFields = cacheParams.echoFields 719 ) 720 721 val clientNode = TLClientNode(Seq(clientParameters)) 722 723 lazy val module = new DCacheImp(this) 724} 725 726 727class DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents { 728 729 val io = IO(new DCacheIO) 730 731 val (bus, edge) = outer.clientNode.out.head 732 require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 733 734 println("DCache:") 735 println(" DCacheSets: " + DCacheSets) 736 println(" DCacheSetDiv: " + DCacheSetDiv) 737 println(" DCacheWays: " + DCacheWays) 738 println(" DCacheBanks: " + DCacheBanks) 739 println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 740 println(" DCacheWordOffset: " + DCacheWordOffset) 741 println(" DCacheBankOffset: " + DCacheBankOffset) 742 println(" DCacheSetOffset: " + DCacheSetOffset) 743 println(" DCacheTagOffset: " + DCacheTagOffset) 744 println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 745 println(" WPUEnable: " + dwpuParam.enWPU) 746 println(" WPUEnableCfPred: " + dwpuParam.enCfPred) 747 println(" WPUAlgorithm: " + dwpuParam.algoName) 748 749 //---------------------------------------- 750 // core data structures 751 val bankedDataArray = if(dwpuParam.enWPU) Module(new SramedDataArray) else Module(new BankedDataArray) 752 val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2)) 753 val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2)) 754 val prefetchArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2)) // prefetch flag array 755 val accessArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = LoadPipelineWidth + 2)) 756 val tagArray = Module(new DuplicatedTagArray(readPorts = LoadPipelineWidth + 1)) 757 bankedDataArray.dump() 758 759 //---------------------------------------- 760 // core modules 761 val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 762 // val atomicsReplayUnit = Module(new AtomicsReplayEntry) 763 val mainPipe = Module(new MainPipe) 764 val refillPipe = Module(new RefillPipe) 765 val missQueue = Module(new MissQueue(edge)) 766 val probeQueue = Module(new ProbeQueue(edge)) 767 val wb = Module(new WritebackQueue(edge)) 768 769 missQueue.io.hartId := io.hartId 770 missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B) 771 772 val errors = ldu.map(_.io.error) ++ // load error 773 Seq(mainPipe.io.error) // store / misc error 774 io.error <> RegNext(Mux1H(errors.map(e => RegNext(e.valid) -> RegNext(e)))) 775 776 //---------------------------------------- 777 // meta array 778 779 // read / write coh meta 780 val meta_read_ports = ldu.map(_.io.meta_read) ++ 781 Seq(mainPipe.io.meta_read) 782 val meta_resp_ports = ldu.map(_.io.meta_resp) ++ 783 Seq(mainPipe.io.meta_resp) 784 val meta_write_ports = Seq( 785 mainPipe.io.meta_write, 786 refillPipe.io.meta_write 787 ) 788 meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p } 789 meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r } 790 meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p } 791 792 // read extra meta 793 meta_read_ports.zip(errorArray.io.read).foreach { case (p, r) => r <> p } 794 meta_read_ports.zip(prefetchArray.io.read).foreach { case (p, r) => r <> p } 795 meta_read_ports.zip(accessArray.io.read).foreach { case (p, r) => r <> p } 796 val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp) ++ 797 Seq(mainPipe.io.extra_meta_resp) 798 extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => { 799 (0 until nWays).map(i => { p(i).error := r(i) }) 800 }} 801 extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => { 802 (0 until nWays).map(i => { p(i).prefetch := r(i) }) 803 }} 804 extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => { 805 (0 until nWays).map(i => { p(i).access := r(i) }) 806 }} 807 808 // write extra meta 809 val error_flag_write_ports = Seq( 810 mainPipe.io.error_flag_write, // error flag generated by corrupted store 811 refillPipe.io.error_flag_write // corrupted signal from l2 812 ) 813 error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p } 814 815 val prefetch_flag_write_ports = Seq( 816 mainPipe.io.prefetch_flag_write, // set prefetch_flag to false if coh is set to Nothing 817 refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag 818 ) 819 prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p } 820 821 val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq( 822 mainPipe.io.access_flag_write, 823 refillPipe.io.access_flag_write 824 ) 825 access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p } 826 827 //---------------------------------------- 828 // tag array 829 require(tagArray.io.read.size == (ldu.size + 1)) 830 val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend 831 assert(!RegNext(!tag_write_intend && tagArray.io.write.valid)) 832 ldu.zipWithIndex.foreach { 833 case (ld, i) => 834 tagArray.io.read(i) <> ld.io.tag_read 835 ld.io.tag_resp := tagArray.io.resp(i) 836 ld.io.tag_read.ready := !tag_write_intend 837 } 838 tagArray.io.read.last <> mainPipe.io.tag_read 839 mainPipe.io.tag_resp := tagArray.io.resp.last 840 841 val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid)) 842 XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle) 843 844 val tag_write_arb = Module(new Arbiter(new TagWriteReq, 2)) 845 tag_write_arb.io.in(0) <> refillPipe.io.tag_write 846 tag_write_arb.io.in(1) <> mainPipe.io.tag_write 847 tagArray.io.write <> tag_write_arb.io.out 848 849 ldu.map(m => { 850 m.io.vtag_update.valid := tagArray.io.write.valid 851 m.io.vtag_update.bits := tagArray.io.write.bits 852 }) 853 854 //---------------------------------------- 855 // data array 856 mainPipe.io.data_read.zip(ldu).map(x => x._1 := x._2.io.lsu.req.valid) 857 858 val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 2)) 859 dataWriteArb.io.in(0) <> refillPipe.io.data_write 860 dataWriteArb.io.in(1) <> mainPipe.io.data_write 861 862 bankedDataArray.io.write <> dataWriteArb.io.out 863 864 for (bank <- 0 until DCacheBanks) { 865 val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 2)) 866 dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid 867 dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits 868 dataWriteArb_dup.io.in(1).valid := mainPipe.io.data_write_dup(bank).valid 869 dataWriteArb_dup.io.in(1).bits := mainPipe.io.data_write_dup(bank).bits 870 871 bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out 872 } 873 874 bankedDataArray.io.readline <> mainPipe.io.data_readline 875 bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend 876 mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed 877 mainPipe.io.data_resp := bankedDataArray.io.readline_resp 878 879 (0 until LoadPipelineWidth).map(i => { 880 bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read 881 bankedDataArray.io.is128Req(i) <> ldu(i).io.is128Req 882 bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed 883 884 ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp_delayed(i) 885 886 ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i) 887 }) 888 889 (0 until LoadPipelineWidth).map(i => { 890 val (_, _, done, _) = edge.count(bus.d) 891 when(bus.d.bits.opcode === TLMessages.GrantData) { 892 io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done) 893 }.otherwise { 894 io.lsu.forward_D(i).dontCare() 895 } 896 }) 897 // tl D channel wakeup 898 val (_, _, done, _) = edge.count(bus.d) 899 when (bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.Grant) { 900 io.lsu.tl_d_channel.apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done) 901 } .otherwise { 902 io.lsu.tl_d_channel.dontCare() 903 } 904 mainPipe.io.force_write <> io.force_write 905 906 /** dwpu */ 907 val dwpu = Module(new DCacheWpuWrapper(LoadPipelineWidth)) 908 for(i <- 0 until LoadPipelineWidth){ 909 dwpu.io.req(i) <> ldu(i).io.dwpu.req(0) 910 dwpu.io.resp(i) <> ldu(i).io.dwpu.resp(0) 911 dwpu.io.lookup_upd(i) <> ldu(i).io.dwpu.lookup_upd(0) 912 dwpu.io.cfpred(i) <> ldu(i).io.dwpu.cfpred(0) 913 } 914 dwpu.io.tagwrite_upd.valid := tagArray.io.write.valid 915 dwpu.io.tagwrite_upd.bits.vaddr := tagArray.io.write.bits.vaddr 916 dwpu.io.tagwrite_upd.bits.s1_real_way_en := tagArray.io.write.bits.way_en 917 918 //---------------------------------------- 919 // load pipe 920 // the s1 kill signal 921 // only lsu uses this, replay never kills 922 for (w <- 0 until LoadPipelineWidth) { 923 ldu(w).io.lsu <> io.lsu.load(w) 924 925 // TODO:when have load128Req 926 ldu(w).io.load128Req := false.B 927 928 // replay and nack not needed anymore 929 // TODO: remove replay and nack 930 ldu(w).io.nack := false.B 931 932 ldu(w).io.disable_ld_fast_wakeup := 933 bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict 934 } 935 936 /** LoadMissDB: record load miss state */ 937 val isWriteLoadMissTable = WireInit(Constantin.createRecord("isWriteLoadMissTable" + p(XSCoreParamsKey).HartId.toString)) 938 val isFirstHitWrite = WireInit(Constantin.createRecord("isFirstHitWrite" + p(XSCoreParamsKey).HartId.toString)) 939 val tableName = "LoadMissDB" + p(XSCoreParamsKey).HartId.toString 940 val siteName = "DcacheWrapper" + p(XSCoreParamsKey).HartId.toString 941 val loadMissTable = ChiselDB.createTable(tableName, new LoadMissEntry) 942 for( i <- 0 until LoadPipelineWidth){ 943 val loadMissEntry = Wire(new LoadMissEntry) 944 val loadMissWriteEn = 945 (!ldu(i).io.lsu.resp.bits.replay && ldu(i).io.miss_req.fire) || 946 (ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid && isFirstHitWrite.orR) 947 loadMissEntry.timeCnt := GTimer() 948 loadMissEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 949 loadMissEntry.paddr := ldu(i).io.miss_req.bits.addr 950 loadMissEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 951 loadMissEntry.missState := OHToUInt(Cat(Seq( 952 ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 953 ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 954 ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 955 ))) 956 loadMissTable.log( 957 data = loadMissEntry, 958 en = isWriteLoadMissTable.orR && loadMissWriteEn, 959 site = siteName, 960 clock = clock, 961 reset = reset 962 ) 963 } 964 965 val isWriteLoadAccessTable = WireInit(Constantin.createRecord("isWriteLoadAccessTable" + p(XSCoreParamsKey).HartId.toString)) 966 val loadAccessTable = ChiselDB.createTable("LoadAccessDB" + p(XSCoreParamsKey).HartId.toString, new LoadAccessEntry) 967 for (i <- 0 until LoadPipelineWidth) { 968 val loadAccessEntry = Wire(new LoadAccessEntry) 969 loadAccessEntry.timeCnt := GTimer() 970 loadAccessEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 971 loadAccessEntry.paddr := ldu(i).io.miss_req.bits.addr 972 loadAccessEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 973 loadAccessEntry.missState := OHToUInt(Cat(Seq( 974 ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 975 ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 976 ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 977 ))) 978 loadAccessEntry.pred_way_num := ldu(i).io.lsu.debug_s2_pred_way_num 979 loadAccessEntry.real_way_num := ldu(i).io.lsu.debug_s2_real_way_num 980 loadAccessEntry.dm_way_num := ldu(i).io.lsu.debug_s2_dm_way_num 981 loadAccessTable.log( 982 data = loadAccessEntry, 983 en = isWriteLoadAccessTable.orR && ldu(i).io.lsu.resp.valid, 984 site = siteName + "_loadpipe" + i.toString, 985 clock = clock, 986 reset = reset 987 ) 988 } 989 990 //---------------------------------------- 991 // atomics 992 // atomics not finished yet 993 // io.lsu.atomics <> atomicsReplayUnit.io.lsu 994 io.lsu.atomics.resp := RegNext(mainPipe.io.atomic_resp) 995 io.lsu.atomics.block_lr := mainPipe.io.block_lr 996 // atomicsReplayUnit.io.pipe_resp := RegNext(mainPipe.io.atomic_resp) 997 // atomicsReplayUnit.io.block_lr <> mainPipe.io.block_lr 998 999 //---------------------------------------- 1000 // miss queue 1001 val MissReqPortCount = LoadPipelineWidth + 1 1002 val MainPipeMissReqPort = 0 1003 1004 // Request 1005 val missReqArb = Module(new ArbiterFilterByCacheLineAddr(new MissReq, MissReqPortCount, blockOffBits, PAddrBits)) 1006 1007 missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 1008 for (w <- 0 until LoadPipelineWidth) { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req } 1009 1010 for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp := missQueue.io.resp } 1011 mainPipe.io.miss_resp := missQueue.io.resp 1012 1013 wb.io.miss_req.valid := missReqArb.io.out.valid 1014 wb.io.miss_req.bits := missReqArb.io.out.bits.addr 1015 1016 // block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req) 1017 missReqArb.io.out <> missQueue.io.req 1018 when(wb.io.block_miss_req) { 1019 missQueue.io.req.bits.cancel := true.B 1020 missReqArb.io.out.ready := false.B 1021 } 1022 1023 XSPerfAccumulate("miss_queue_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) >= 1.U) 1024 XSPerfAccumulate("miss_queue_muti_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) > 1.U) 1025 1026 XSPerfAccumulate("miss_queue_has_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) >= 1.U) 1027 XSPerfAccumulate("miss_queue_has_muti_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U) 1028 XSPerfAccumulate("miss_queue_has_muti_enq_but_not_fire", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U && PopCount(VecInit(missReqArb.io.in.map(_.fire))) === 0.U) 1029 1030 // forward missqueue 1031 (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i))) 1032 1033 // refill to load queue 1034 io.lsu.lsq <> missQueue.io.refill_to_ldq 1035 1036 // tilelink stuff 1037 bus.a <> missQueue.io.mem_acquire 1038 bus.e <> missQueue.io.mem_finish 1039 missQueue.io.probe_addr := bus.b.bits.address 1040 1041 missQueue.io.main_pipe_resp := RegNext(mainPipe.io.atomic_resp) 1042 1043 //---------------------------------------- 1044 // probe 1045 // probeQueue.io.mem_probe <> bus.b 1046 block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 1047 probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 1048 probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set 1049 1050 //---------------------------------------- 1051 // mainPipe 1052 // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe, 1053 // block the req in main pipe 1054 block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, missQueue.io.refill_pipe_req.valid) 1055 block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refillPipe.io.req.valid) 1056 1057 io.lsu.store.replay_resp := RegNext(mainPipe.io.store_replay_resp) 1058 io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp 1059 1060 arbiter_with_pipereg( 1061 in = Seq(missQueue.io.main_pipe_req, io.lsu.atomics.req), 1062 out = mainPipe.io.atomic_req, 1063 name = Some("main_pipe_atomic_req") 1064 ) 1065 1066 mainPipe.io.invalid_resv_set := RegNext(wb.io.req.fire && wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits) 1067 1068 //---------------------------------------- 1069 // replace (main pipe) 1070 val mpStatus = mainPipe.io.status 1071 mainPipe.io.replace_req <> missQueue.io.replace_pipe_req 1072 missQueue.io.replace_pipe_resp := mainPipe.io.replace_resp 1073 1074 //---------------------------------------- 1075 // refill pipe 1076 val refillShouldBeBlocked = (mpStatus.s1.valid && mpStatus.s1.bits.set === missQueue.io.refill_pipe_req.bits.idx) || 1077 Cat(Seq(mpStatus.s2, mpStatus.s3).map(s => 1078 s.valid && 1079 s.bits.set === missQueue.io.refill_pipe_req.bits.idx && 1080 s.bits.way_en === missQueue.io.refill_pipe_req.bits.way_en 1081 )).orR 1082 block_decoupled(missQueue.io.refill_pipe_req, refillPipe.io.req, refillShouldBeBlocked) 1083 1084 val mpStatus_dup = mainPipe.io.status_dup 1085 val mq_refill_dup = missQueue.io.refill_pipe_req_dup 1086 val refillShouldBeBlocked_dup = VecInit((0 until nDupStatus).map { case i => 1087 mpStatus_dup(i).s1.valid && mpStatus_dup(i).s1.bits.set === mq_refill_dup(i).bits.idx || 1088 Cat(Seq(mpStatus_dup(i).s2, mpStatus_dup(i).s3).map(s => 1089 s.valid && 1090 s.bits.set === mq_refill_dup(i).bits.idx && 1091 s.bits.way_en === mq_refill_dup(i).bits.way_en 1092 )).orR 1093 }) 1094 dontTouch(refillShouldBeBlocked_dup) 1095 1096 refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) => 1097 r.bits := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).bits 1098 } 1099 refillPipe.io.req_dup_for_meta_w.bits := mq_refill_dup(metaWritePort).bits 1100 refillPipe.io.req_dup_for_tag_w.bits := mq_refill_dup(tagWritePort).bits 1101 refillPipe.io.req_dup_for_err_w.bits := mq_refill_dup(errWritePort).bits 1102 refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) => 1103 r.valid := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).valid && 1104 !(refillShouldBeBlocked_dup.drop(dataWritePort).take(DCacheBanks))(i) 1105 } 1106 refillPipe.io.req_dup_for_meta_w.valid := mq_refill_dup(metaWritePort).valid && !refillShouldBeBlocked_dup(metaWritePort) 1107 refillPipe.io.req_dup_for_tag_w.valid := mq_refill_dup(tagWritePort).valid && !refillShouldBeBlocked_dup(tagWritePort) 1108 refillPipe.io.req_dup_for_err_w.valid := mq_refill_dup(errWritePort).valid && !refillShouldBeBlocked_dup(errWritePort) 1109 1110 val refillPipe_io_req_valid_dup = VecInit(mq_refill_dup.zip(refillShouldBeBlocked_dup).map( 1111 x => x._1.valid && !x._2 1112 )) 1113 val refillPipe_io_data_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(0, nDupDataWriteReady)) 1114 val refillPipe_io_tag_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(nDupDataWriteReady, nDupStatus)) 1115 dontTouch(refillPipe_io_req_valid_dup) 1116 dontTouch(refillPipe_io_data_write_valid_dup) 1117 dontTouch(refillPipe_io_tag_write_valid_dup) 1118 mainPipe.io.data_write_ready_dup := VecInit(refillPipe_io_data_write_valid_dup.map(v => !v)) 1119 mainPipe.io.tag_write_ready_dup := VecInit(refillPipe_io_tag_write_valid_dup.map(v => !v)) 1120 mainPipe.io.wb_ready_dup := wb.io.req_ready_dup 1121 1122 mq_refill_dup.zip(refillShouldBeBlocked_dup).foreach { case (r, block) => 1123 r.ready := refillPipe.io.req.ready && !block 1124 } 1125 1126 missQueue.io.refill_pipe_resp := refillPipe.io.resp 1127 io.lsu.store.refill_hit_resp := RegNext(refillPipe.io.store_resp) 1128 1129 //---------------------------------------- 1130 // wb 1131 // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 1132 1133 wb.io.req <> mainPipe.io.wb 1134 bus.c <> wb.io.mem_release 1135 wb.io.release_wakeup := refillPipe.io.release_wakeup 1136 wb.io.release_update := mainPipe.io.release_update 1137 wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req 1138 wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp 1139 1140 io.lsu.release.valid := RegNext(wb.io.req.fire()) 1141 io.lsu.release.bits.paddr := RegNext(wb.io.req.bits.addr) 1142 // Note: RegNext() is required by: 1143 // * load queue released flag update logic 1144 // * load / load violation check logic 1145 // * and timing requirements 1146 // CHANGE IT WITH CARE 1147 1148 // connect bus d 1149 missQueue.io.mem_grant.valid := false.B 1150 missQueue.io.mem_grant.bits := DontCare 1151 1152 wb.io.mem_grant.valid := false.B 1153 wb.io.mem_grant.bits := DontCare 1154 1155 // in L1DCache, we ony expect Grant[Data] and ReleaseAck 1156 bus.d.ready := false.B 1157 when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) { 1158 missQueue.io.mem_grant <> bus.d 1159 } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 1160 wb.io.mem_grant <> bus.d 1161 } .otherwise { 1162 assert (!bus.d.fire()) 1163 } 1164 1165 //---------------------------------------- 1166 // replacement algorithm 1167 val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets) 1168 val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) 1169 1170 val victimList = VictimList(nSets) 1171 if (dwpuParam.enCfPred) { 1172 when(missQueue.io.replace_pipe_req.valid) { 1173 victimList.replace(get_idx(missQueue.io.replace_pipe_req.bits.vaddr)) 1174 } 1175 replWayReqs.foreach { 1176 case req => 1177 req.way := DontCare 1178 when(req.set.valid) { 1179 when(victimList.whether_sa(req.set.bits)) { 1180 req.way := replacer.way(req.set.bits) 1181 }.otherwise { 1182 req.way := req.dmWay 1183 } 1184 } 1185 } 1186 } else { 1187 replWayReqs.foreach { 1188 case req => 1189 req.way := DontCare 1190 when(req.set.valid) { 1191 req.way := replacer.way(req.set.bits) 1192 } 1193 } 1194 } 1195 1196 val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq( 1197 mainPipe.io.replace_access 1198 ) 1199 val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W)))) 1200 touchWays.zip(replAccessReqs).foreach { 1201 case (w, req) => 1202 w.valid := req.valid 1203 w.bits := req.bits.way 1204 } 1205 val touchSets = replAccessReqs.map(_.bits.set) 1206 replacer.access(touchSets, touchWays) 1207 1208 //---------------------------------------- 1209 // assertions 1210 // dcache should only deal with DRAM addresses 1211 when (bus.a.fire()) { 1212 assert(bus.a.bits.address >= 0x80000000L.U) 1213 } 1214 when (bus.b.fire()) { 1215 assert(bus.b.bits.address >= 0x80000000L.U) 1216 } 1217 when (bus.c.fire()) { 1218 assert(bus.c.bits.address >= 0x80000000L.U) 1219 } 1220 1221 //---------------------------------------- 1222 // utility functions 1223 def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 1224 sink.valid := source.valid && !block_signal 1225 source.ready := sink.ready && !block_signal 1226 sink.bits := source.bits 1227 } 1228 1229 //---------------------------------------- 1230 // Customized csr cache op support 1231 val cacheOpDecoder = Module(new CSRCacheOpDecoder("dcache", CacheInstrucion.COP_ID_DCACHE)) 1232 cacheOpDecoder.io.csr <> io.csr 1233 bankedDataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1234 // dup cacheOp_req_valid 1235 bankedDataArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1236 // dup cacheOp_req_bits_opCode 1237 bankedDataArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1238 1239 tagArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1240 // dup cacheOp_req_valid 1241 tagArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1242 // dup cacheOp_req_bits_opCode 1243 tagArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1244 1245 cacheOpDecoder.io.cache.resp.valid := bankedDataArray.io.cacheOp.resp.valid || 1246 tagArray.io.cacheOp.resp.valid 1247 cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 1248 bankedDataArray.io.cacheOp.resp.valid -> bankedDataArray.io.cacheOp.resp.bits, 1249 tagArray.io.cacheOp.resp.valid -> tagArray.io.cacheOp.resp.bits, 1250 )) 1251 cacheOpDecoder.io.error := io.error 1252 assert(!((bankedDataArray.io.cacheOp.resp.valid +& tagArray.io.cacheOp.resp.valid) > 1.U)) 1253 1254 //---------------------------------------- 1255 // performance counters 1256 val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire())) 1257 XSPerfAccumulate("num_loads", num_loads) 1258 1259 io.mshrFull := missQueue.io.full 1260 1261 // performance counter 1262 val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType)) 1263 val st_access = Wire(ld_access.last.cloneType) 1264 ld_access.zip(ldu).foreach { 1265 case (a, u) => 1266 a.valid := RegNext(u.io.lsu.req.fire()) && !u.io.lsu.s1_kill 1267 a.bits.idx := RegNext(get_idx(u.io.lsu.req.bits.vaddr)) 1268 a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache) 1269 } 1270 st_access.valid := RegNext(mainPipe.io.store_req.fire()) 1271 st_access.bits.idx := RegNext(get_idx(mainPipe.io.store_req.bits.vaddr)) 1272 st_access.bits.tag := RegNext(get_tag(mainPipe.io.store_req.bits.addr)) 1273 val access_info = ld_access.toSeq ++ Seq(st_access) 1274 val early_replace = RegNext(missQueue.io.debug_early_replace) 1275 val access_early_replace = access_info.map { 1276 case acc => 1277 Cat(early_replace.map { 1278 case r => 1279 acc.valid && r.valid && 1280 acc.bits.tag === r.bits.tag && 1281 acc.bits.idx === r.bits.idx 1282 }) 1283 } 1284 XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace))) 1285 1286 val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents) 1287 generatePerfEvent() 1288} 1289 1290class AMOHelper() extends ExtModule { 1291 val clock = IO(Input(Clock())) 1292 val enable = IO(Input(Bool())) 1293 val cmd = IO(Input(UInt(5.W))) 1294 val addr = IO(Input(UInt(64.W))) 1295 val wdata = IO(Input(UInt(64.W))) 1296 val mask = IO(Input(UInt(8.W))) 1297 val rdata = IO(Output(UInt(64.W))) 1298} 1299 1300class DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 1301 1302 val useDcache = coreParams.dcacheParametersOpt.nonEmpty 1303 val clientNode = if (useDcache) TLIdentityNode() else null 1304 val dcache = if (useDcache) LazyModule(new DCache()) else null 1305 if (useDcache) { 1306 clientNode := dcache.clientNode 1307 } 1308 1309 lazy val module = new LazyModuleImp(this) with HasPerfEvents { 1310 val io = IO(new DCacheIO) 1311 val perfEvents = if (!useDcache) { 1312 // a fake dcache which uses dpi-c to access memory, only for debug usage! 1313 val fake_dcache = Module(new FakeDCache()) 1314 io <> fake_dcache.io 1315 Seq() 1316 } 1317 else { 1318 io <> dcache.module.io 1319 dcache.module.getPerfEvents 1320 } 1321 generatePerfEvent() 1322 } 1323} 1324