1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache 18 19import chisel3._ 20import chisel3.experimental.ExtModule 21import chisel3.util._ 22import coupledL2.VaddrField 23import freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 24import freechips.rocketchip.tilelink._ 25import freechips.rocketchip.util.BundleFieldBase 26import huancun.{AliasField, PrefetchField} 27import org.chipsalliance.cde.config.Parameters 28import utility._ 29import utils._ 30import xiangshan._ 31import xiangshan.backend.rob.RobDebugRollingIO 32import xiangshan.cache.wpu._ 33import xiangshan.mem.{AddPipelineReg, HasL1PrefetchSourceParameter} 34import xiangshan.mem.prefetch._ 35 36// DCache specific parameters 37case class DCacheParameters 38( 39 nSets: Int = 256, 40 nWays: Int = 8, 41 rowBits: Int = 64, 42 tagECC: Option[String] = None, 43 dataECC: Option[String] = None, 44 replacer: Option[String] = Some("setplru"), 45 updateReplaceOn2ndmiss: Boolean = true, 46 nMissEntries: Int = 1, 47 nProbeEntries: Int = 1, 48 nReleaseEntries: Int = 1, 49 nMMIOEntries: Int = 1, 50 nMMIOs: Int = 1, 51 blockBytes: Int = 64, 52 nMaxPrefetchEntry: Int = 1, 53 alwaysReleaseData: Boolean = false 54) extends L1CacheParameters { 55 // if sets * blockBytes > 4KB(page size), 56 // cache alias will happen, 57 // we need to avoid this by recoding additional bits in L2 cache 58 val setBytes = nSets * blockBytes 59 val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 60 61 def tagCode: Code = Code.fromString(tagECC) 62 63 def dataCode: Code = Code.fromString(dataECC) 64} 65 66// Physical Address 67// -------------------------------------- 68// | Physical Tag | PIndex | Offset | 69// -------------------------------------- 70// | 71// DCacheTagOffset 72// 73// Virtual Address 74// -------------------------------------- 75// | Above index | Set | Bank | Offset | 76// -------------------------------------- 77// | | | | 78// | | | 0 79// | | DCacheBankOffset 80// | DCacheSetOffset 81// DCacheAboveIndexOffset 82 83// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 84 85trait HasDCacheParameters extends HasL1CacheParameters with HasL1PrefetchSourceParameter{ 86 val cacheParams = dcacheParameters 87 val cfg = cacheParams 88 89 def encWordBits = cacheParams.dataCode.width(wordBits) 90 91 def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 92 def eccBits = encWordBits - wordBits 93 94 def encTagBits = cacheParams.tagCode.width(tagBits) 95 def eccTagBits = encTagBits - tagBits 96 97 def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 98 99 def nSourceType = 10 100 def sourceTypeWidth = log2Up(nSourceType) 101 // non-prefetch source < 3 102 def LOAD_SOURCE = 0 103 def STORE_SOURCE = 1 104 def AMO_SOURCE = 2 105 // prefetch source >= 3 106 def DCACHE_PREFETCH_SOURCE = 3 107 def SOFT_PREFETCH = 4 108 // the following sources are only used inside SMS 109 def HW_PREFETCH_AGT = 5 110 def HW_PREFETCH_PHT_CUR = 6 111 def HW_PREFETCH_PHT_INC = 7 112 def HW_PREFETCH_PHT_DEC = 8 113 def HW_PREFETCH_BOP = 9 114 def HW_PREFETCH_STRIDE = 10 115 116 def BLOOM_FILTER_ENTRY_NUM = 4096 117 118 // each source use a id to distinguish its multiple reqs 119 def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize) 120 121 require(isPow2(cfg.nMissEntries)) // TODO 122 // require(isPow2(cfg.nReleaseEntries)) 123 require(cfg.nMissEntries < cfg.nReleaseEntries) 124 val nEntries = cfg.nMissEntries + cfg.nReleaseEntries 125 val releaseIdBase = cfg.nMissEntries 126 127 // banked dcache support 128 val DCacheSetDiv = 1 129 val DCacheSets = cacheParams.nSets 130 val DCacheWays = cacheParams.nWays 131 val DCacheBanks = 8 // hardcoded 132 val DCacheDupNum = 16 133 val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded 134 val DCacheWordBits = 64 // hardcoded 135 val DCacheWordBytes = DCacheWordBits / 8 136 val MaxPrefetchEntry = cacheParams.nMaxPrefetchEntry 137 val DCacheVWordBytes = VLEN / 8 138 require(DCacheSRAMRowBits == 64) 139 140 val DCacheSetDivBits = log2Ceil(DCacheSetDiv) 141 val DCacheSetBits = log2Ceil(DCacheSets) 142 val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 143 val DCacheSizeBytes = DCacheSizeBits / 8 144 val DCacheSizeWords = DCacheSizeBits / 64 // TODO 145 146 val DCacheSameVPAddrLength = 12 147 148 val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 149 val DCacheWordOffset = log2Up(DCacheWordBytes) 150 val DCacheVWordOffset = log2Up(DCacheVWordBytes) 151 152 val DCacheBankOffset = log2Up(DCacheSRAMRowBytes) 153 val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 154 val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 155 val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 156 val DCacheLineOffset = DCacheSetOffset 157 158 // uncache 159 val uncacheIdxBits = log2Up(StoreQueueSize + 1) max log2Up(VirtualLoadQueueSize + 1) 160 // hardware prefetch parameters 161 // high confidence hardware prefetch port 162 val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default 163 val IgnorePrefetchConfidence = false 164 165 // parameters about duplicating regs to solve fanout 166 // In Main Pipe: 167 // tag_write.ready -> data_write.valid * 8 banks 168 // tag_write.ready -> meta_write.valid 169 // tag_write.ready -> tag_write.valid 170 // tag_write.ready -> err_write.valid 171 // tag_write.ready -> wb.valid 172 val nDupTagWriteReady = DCacheBanks + 4 173 // In Main Pipe: 174 // data_write.ready -> data_write.valid * 8 banks 175 // data_write.ready -> meta_write.valid 176 // data_write.ready -> tag_write.valid 177 // data_write.ready -> err_write.valid 178 // data_write.ready -> wb.valid 179 val nDupDataWriteReady = DCacheBanks + 4 180 val nDupWbReady = DCacheBanks + 4 181 val nDupStatus = nDupTagWriteReady + nDupDataWriteReady 182 val dataWritePort = 0 183 val metaWritePort = DCacheBanks 184 val tagWritePort = metaWritePort + 1 185 val errWritePort = tagWritePort + 1 186 val wbPort = errWritePort + 1 187 188 def set_to_dcache_div(set: UInt) = { 189 require(set.getWidth >= DCacheSetBits) 190 if (DCacheSetDivBits == 0) 0.U else set(DCacheSetDivBits-1, 0) 191 } 192 193 def set_to_dcache_div_set(set: UInt) = { 194 require(set.getWidth >= DCacheSetBits) 195 set(DCacheSetBits - 1, DCacheSetDivBits) 196 } 197 198 def addr_to_dcache_bank(addr: UInt) = { 199 require(addr.getWidth >= DCacheSetOffset) 200 addr(DCacheSetOffset-1, DCacheBankOffset) 201 } 202 203 def addr_to_dcache_div(addr: UInt) = { 204 require(addr.getWidth >= DCacheAboveIndexOffset) 205 if(DCacheSetDivBits == 0) 0.U else addr(DCacheSetOffset + DCacheSetDivBits - 1, DCacheSetOffset) 206 } 207 208 def addr_to_dcache_div_set(addr: UInt) = { 209 require(addr.getWidth >= DCacheAboveIndexOffset) 210 addr(DCacheAboveIndexOffset - 1, DCacheSetOffset + DCacheSetDivBits) 211 } 212 213 def addr_to_dcache_set(addr: UInt) = { 214 require(addr.getWidth >= DCacheAboveIndexOffset) 215 addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 216 } 217 218 def get_data_of_bank(bank: Int, data: UInt) = { 219 require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 220 data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 221 } 222 223 def get_mask_of_bank(bank: Int, data: UInt) = { 224 require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 225 data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 226 } 227 228 def get_alias(vaddr: UInt): UInt ={ 229 require(blockOffBits + idxBits > pgIdxBits) 230 if(blockOffBits + idxBits > pgIdxBits){ 231 vaddr(blockOffBits + idxBits - 1, pgIdxBits) 232 }else{ 233 0.U 234 } 235 } 236 237 def is_alias_match(vaddr0: UInt, vaddr1: UInt): Bool = { 238 require(vaddr0.getWidth == VAddrBits && vaddr1.getWidth == VAddrBits) 239 if(blockOffBits + idxBits > pgIdxBits) { 240 vaddr0(blockOffBits + idxBits - 1, pgIdxBits) === vaddr1(blockOffBits + idxBits - 1, pgIdxBits) 241 }else { 242 // no alias problem 243 true.B 244 } 245 } 246 247 def get_direct_map_way(addr:UInt): UInt = { 248 addr(DCacheAboveIndexOffset + log2Up(DCacheWays) - 1, DCacheAboveIndexOffset) 249 } 250 251 def arbiter[T <: Bundle]( 252 in: Seq[DecoupledIO[T]], 253 out: DecoupledIO[T], 254 name: Option[String] = None): Unit = { 255 val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 256 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 257 for ((a, req) <- arb.io.in.zip(in)) { 258 a <> req 259 } 260 out <> arb.io.out 261 } 262 263 def arbiter_with_pipereg[T <: Bundle]( 264 in: Seq[DecoupledIO[T]], 265 out: DecoupledIO[T], 266 name: Option[String] = None): Unit = { 267 val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 268 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 269 for ((a, req) <- arb.io.in.zip(in)) { 270 a <> req 271 } 272 AddPipelineReg(arb.io.out, out, false.B) 273 } 274 275 def arbiter_with_pipereg_N_dup[T <: Bundle]( 276 in: Seq[DecoupledIO[T]], 277 out: DecoupledIO[T], 278 dups: Seq[DecoupledIO[T]], 279 name: Option[String] = None): Unit = { 280 val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 281 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 282 for ((a, req) <- arb.io.in.zip(in)) { 283 a <> req 284 } 285 for (dup <- dups) { 286 AddPipelineReg(arb.io.out, dup, false.B) 287 } 288 AddPipelineReg(arb.io.out, out, false.B) 289 } 290 291 def rrArbiter[T <: Bundle]( 292 in: Seq[DecoupledIO[T]], 293 out: DecoupledIO[T], 294 name: Option[String] = None): Unit = { 295 val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size)) 296 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 297 for ((a, req) <- arb.io.in.zip(in)) { 298 a <> req 299 } 300 out <> arb.io.out 301 } 302 303 def fastArbiter[T <: Bundle]( 304 in: Seq[DecoupledIO[T]], 305 out: DecoupledIO[T], 306 name: Option[String] = None): Unit = { 307 val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size)) 308 if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 309 for ((a, req) <- arb.io.in.zip(in)) { 310 a <> req 311 } 312 out <> arb.io.out 313 } 314 315 val numReplaceRespPorts = 2 316 317 require(isPow2(nSets), s"nSets($nSets) must be pow2") 318 require(isPow2(nWays), s"nWays($nWays) must be pow2") 319 require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 320 require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 321} 322 323abstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 324 with HasDCacheParameters 325 326abstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 327 with HasDCacheParameters 328 329class ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 330 val set = UInt(log2Up(nSets).W) 331 val way = UInt(log2Up(nWays).W) 332} 333 334class ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle { 335 val set = ValidIO(UInt(log2Up(nSets).W)) 336 val dmWay = Output(UInt(log2Up(nWays).W)) 337 val way = Input(UInt(log2Up(nWays).W)) 338} 339 340class DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle 341{ 342 val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store 343 val prefetch = UInt(L1PfSourceBits.W) // cache line is first required by prefetch 344 val access = Bool() // cache line has been accessed by load / store 345 346 // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline 347} 348 349// memory request in word granularity(load, mmio, lr/sc, atomics) 350class DCacheWordReq(implicit p: Parameters) extends DCacheBundle 351{ 352 val cmd = UInt(M_SZ.W) 353 val vaddr = UInt(VAddrBits.W) 354 val data = UInt(VLEN.W) 355 val mask = UInt((VLEN/8).W) 356 val id = UInt(reqIdWidth.W) 357 val instrtype = UInt(sourceTypeWidth.W) 358 val isFirstIssue = Bool() 359 val replayCarry = new ReplayCarry(nWays) 360 361 val debug_robIdx = UInt(log2Ceil(RobSize).W) 362 def dump() = { 363 XSDebug("DCacheWordReq: cmd: %x vaddr: %x data: %x mask: %x id: %d\n", 364 cmd, vaddr, data, mask, id) 365 } 366} 367 368// memory request in word granularity(store) 369class DCacheLineReq(implicit p: Parameters) extends DCacheBundle 370{ 371 val cmd = UInt(M_SZ.W) 372 val vaddr = UInt(VAddrBits.W) 373 val addr = UInt(PAddrBits.W) 374 val data = UInt((cfg.blockBytes * 8).W) 375 val mask = UInt(cfg.blockBytes.W) 376 val id = UInt(reqIdWidth.W) 377 def dump() = { 378 XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 379 cmd, addr, data, mask, id) 380 } 381 def idx: UInt = get_idx(vaddr) 382} 383 384class DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 385 val addr = UInt(PAddrBits.W) 386 val wline = Bool() 387} 388 389class DCacheWordReqWithVaddrAndPfFlag(implicit p: Parameters) extends DCacheWordReqWithVaddr { 390 val prefetch = Bool() 391 392 def toDCacheWordReqWithVaddr() = { 393 val res = Wire(new DCacheWordReqWithVaddr) 394 res.vaddr := vaddr 395 res.wline := wline 396 res.cmd := cmd 397 res.addr := addr 398 res.data := data 399 res.mask := mask 400 res.id := id 401 res.instrtype := instrtype 402 res.replayCarry := replayCarry 403 res.isFirstIssue := isFirstIssue 404 res.debug_robIdx := debug_robIdx 405 406 res 407 } 408} 409 410class BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle 411{ 412 // read in s2 413 val data = UInt(VLEN.W) 414 // select in s3 415 val data_delayed = UInt(VLEN.W) 416 val id = UInt(reqIdWidth.W) 417 // cache req missed, send it to miss queue 418 val miss = Bool() 419 // cache miss, and failed to enter the missqueue, replay from RS is needed 420 val replay = Bool() 421 val replayCarry = new ReplayCarry(nWays) 422 // data has been corrupted 423 val tag_error = Bool() // tag error 424 val mshr_id = UInt(log2Up(cfg.nMissEntries).W) 425 426 val debug_robIdx = UInt(log2Ceil(RobSize).W) 427 def dump() = { 428 XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 429 data, id, miss, replay) 430 } 431} 432 433class DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp 434{ 435 val meta_prefetch = UInt(L1PfSourceBits.W) 436 val meta_access = Bool() 437 // s2 438 val handled = Bool() 439 val real_miss = Bool() 440 // s3: 1 cycle after data resp 441 val error_delayed = Bool() // all kinds of errors, include tag error 442 val replacementUpdated = Bool() 443} 444 445class BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp 446{ 447 val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W)) 448 val bank_oh = UInt(DCacheBanks.W) 449} 450 451class DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp 452{ 453 val error = Bool() // all kinds of errors, include tag error 454} 455 456class DCacheLineResp(implicit p: Parameters) extends DCacheBundle 457{ 458 val data = UInt((cfg.blockBytes * 8).W) 459 // cache req missed, send it to miss queue 460 val miss = Bool() 461 // cache req nacked, replay it later 462 val replay = Bool() 463 val id = UInt(reqIdWidth.W) 464 def dump() = { 465 XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 466 data, id, miss, replay) 467 } 468} 469 470class Refill(implicit p: Parameters) extends DCacheBundle 471{ 472 val addr = UInt(PAddrBits.W) 473 val data = UInt(l1BusDataWidth.W) 474 val error = Bool() // refilled data has been corrupted 475 // for debug usage 476 val data_raw = UInt((cfg.blockBytes * 8).W) 477 val hasdata = Bool() 478 val refill_done = Bool() 479 def dump() = { 480 XSDebug("Refill: addr: %x data: %x\n", addr, data) 481 } 482 val id = UInt(log2Up(cfg.nMissEntries).W) 483} 484 485class Release(implicit p: Parameters) extends DCacheBundle 486{ 487 val paddr = UInt(PAddrBits.W) 488 def dump() = { 489 XSDebug("Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset)) 490 } 491} 492 493class DCacheWordIO(implicit p: Parameters) extends DCacheBundle 494{ 495 val req = DecoupledIO(new DCacheWordReq) 496 val resp = Flipped(DecoupledIO(new DCacheWordResp)) 497} 498 499 500class UncacheWordReq(implicit p: Parameters) extends DCacheBundle 501{ 502 val cmd = UInt(M_SZ.W) 503 val addr = UInt(PAddrBits.W) 504 val data = UInt(XLEN.W) 505 val mask = UInt((XLEN/8).W) 506 val id = UInt(uncacheIdxBits.W) 507 val instrtype = UInt(sourceTypeWidth.W) 508 val atomic = Bool() 509 val isFirstIssue = Bool() 510 val replayCarry = new ReplayCarry(nWays) 511 512 def dump() = { 513 XSDebug("UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 514 cmd, addr, data, mask, id) 515 } 516} 517 518class UncacheWordResp(implicit p: Parameters) extends DCacheBundle 519{ 520 val data = UInt(XLEN.W) 521 val data_delayed = UInt(XLEN.W) 522 val id = UInt(uncacheIdxBits.W) 523 val miss = Bool() 524 val replay = Bool() 525 val tag_error = Bool() 526 val error = Bool() 527 val replayCarry = new ReplayCarry(nWays) 528 val mshr_id = UInt(log2Up(cfg.nMissEntries).W) // FIXME: why uncacheWordResp is not merged to baseDcacheResp 529 530 val debug_robIdx = UInt(log2Ceil(RobSize).W) 531 def dump() = { 532 XSDebug("UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n", 533 data, id, miss, replay, tag_error, error) 534 } 535} 536 537class UncacheWordIO(implicit p: Parameters) extends DCacheBundle 538{ 539 val req = DecoupledIO(new UncacheWordReq) 540 val resp = Flipped(DecoupledIO(new UncacheWordResp)) 541} 542 543class AtomicsResp(implicit p: Parameters) extends DCacheBundle { 544 val data = UInt(DataBits.W) 545 val miss = Bool() 546 val miss_id = UInt(log2Up(cfg.nMissEntries).W) 547 val replay = Bool() 548 val error = Bool() 549 550 val ack_miss_queue = Bool() 551 552 val id = UInt(reqIdWidth.W) 553} 554 555class AtomicWordIO(implicit p: Parameters) extends DCacheBundle 556{ 557 val req = DecoupledIO(new MainPipeReq) 558 val resp = Flipped(ValidIO(new AtomicsResp)) 559 val block_lr = Input(Bool()) 560} 561 562// used by load unit 563class DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 564{ 565 // kill previous cycle's req 566 val s1_kill = Output(Bool()) 567 val s2_kill = Output(Bool()) 568 val s0_pc = Output(UInt(VAddrBits.W)) 569 val s1_pc = Output(UInt(VAddrBits.W)) 570 val s2_pc = Output(UInt(VAddrBits.W)) 571 // cycle 0: load has updated replacement before 572 val replacementUpdated = Output(Bool()) 573 // cycle 0: prefetch source bits 574 val pf_source = Output(UInt(L1PfSourceBits.W)) 575 // cycle 0: virtual address: req.addr 576 // cycle 1: physical address: s1_paddr 577 val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr 578 val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr 579 val s1_disable_fast_wakeup = Input(Bool()) 580 // cycle 2: hit signal 581 val s2_hit = Input(Bool()) // hit signal for lsu, 582 val s2_first_hit = Input(Bool()) 583 val s2_bank_conflict = Input(Bool()) 584 val s2_wpu_pred_fail = Input(Bool()) 585 val s2_mq_nack = Input(Bool()) 586 587 // debug 588 val debug_s1_hit_way = Input(UInt(nWays.W)) 589 val debug_s2_pred_way_num = Input(UInt(XLEN.W)) 590 val debug_s2_dm_way_num = Input(UInt(XLEN.W)) 591 val debug_s2_real_way_num = Input(UInt(XLEN.W)) 592} 593 594class DCacheLineIO(implicit p: Parameters) extends DCacheBundle 595{ 596 val req = DecoupledIO(new DCacheLineReq) 597 val resp = Flipped(DecoupledIO(new DCacheLineResp)) 598} 599 600class DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle { 601 // sbuffer will directly send request to dcache main pipe 602 val req = Flipped(Decoupled(new DCacheLineReq)) 603 604 val main_pipe_hit_resp = ValidIO(new DCacheLineResp) 605 val refill_hit_resp = ValidIO(new DCacheLineResp) 606 607 val replay_resp = ValidIO(new DCacheLineResp) 608 609 def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp) 610} 611 612// forward tilelink channel D's data to ldu 613class DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle { 614 val valid = Bool() 615 val data = UInt(l1BusDataWidth.W) 616 val mshrid = UInt(log2Up(cfg.nMissEntries).W) 617 val last = Bool() 618 619 def apply(req_valid : Bool, req_data : UInt, req_mshrid : UInt, req_last : Bool) = { 620 valid := req_valid 621 data := req_data 622 mshrid := req_mshrid 623 last := req_last 624 } 625 626 def dontCare() = { 627 valid := false.B 628 data := DontCare 629 mshrid := DontCare 630 last := DontCare 631 } 632 633 def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = { 634 val all_match = req_valid && valid && 635 req_mshr_id === mshrid && 636 req_paddr(log2Up(refillBytes)) === last 637 638 val forward_D = RegInit(false.B) 639 val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 640 641 val block_idx = req_paddr(log2Up(refillBytes) - 1, 3) 642 val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W))) 643 (0 until l1BusDataWidth / 64).map(i => { 644 block_data(i) := data(64 * i + 63, 64 * i) 645 }) 646 val selected_data = Wire(UInt(128.W)) 647 selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 648 649 forward_D := all_match 650 for (i <- 0 until VLEN/8) { 651 forwardData(i) := selected_data(8 * i + 7, 8 * i) 652 } 653 654 (forward_D, forwardData) 655 } 656} 657 658class MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle { 659 val inflight = Bool() 660 val paddr = UInt(PAddrBits.W) 661 val raw_data = Vec(blockRows, UInt(rowBits.W)) 662 val firstbeat_valid = Bool() 663 val lastbeat_valid = Bool() 664 665 def apply(mshr_valid : Bool, mshr_paddr : UInt, mshr_rawdata : Vec[UInt], mshr_first_valid : Bool, mshr_last_valid : Bool) = { 666 inflight := mshr_valid 667 paddr := mshr_paddr 668 raw_data := mshr_rawdata 669 firstbeat_valid := mshr_first_valid 670 lastbeat_valid := mshr_last_valid 671 } 672 673 // check if we can forward from mshr or D channel 674 def check(req_valid : Bool, req_paddr : UInt) = { 675 RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits)) 676 } 677 678 def forward(req_valid : Bool, req_paddr : UInt) = { 679 val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) || 680 (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid) 681 682 val forward_mshr = RegInit(false.B) 683 val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 684 685 val block_idx = req_paddr(log2Up(refillBytes), 3) 686 val block_data = raw_data 687 688 val selected_data = Wire(UInt(128.W)) 689 selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 690 691 forward_mshr := all_match 692 for (i <- 0 until VLEN/8) { 693 forwardData(i) := selected_data(8 * i + 7, 8 * i) 694 } 695 696 (forward_mshr, forwardData) 697 } 698} 699 700// forward mshr's data to ldu 701class LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle { 702 // req 703 val valid = Input(Bool()) 704 val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W)) 705 val paddr = Input(UInt(PAddrBits.W)) 706 // resp 707 val forward_mshr = Output(Bool()) 708 val forwardData = Output(Vec(VLEN/8, UInt(8.W))) 709 val forward_result_valid = Output(Bool()) 710 711 def connect(sink: LduToMissqueueForwardIO) = { 712 sink.valid := valid 713 sink.mshrid := mshrid 714 sink.paddr := paddr 715 forward_mshr := sink.forward_mshr 716 forwardData := sink.forwardData 717 forward_result_valid := sink.forward_result_valid 718 } 719 720 def forward() = { 721 (forward_result_valid, forward_mshr, forwardData) 722 } 723} 724 725class StorePrefetchReq(implicit p: Parameters) extends DCacheBundle { 726 val paddr = UInt(PAddrBits.W) 727 val vaddr = UInt(VAddrBits.W) 728} 729 730class DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 731 val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 732 val sta = Vec(StorePipelineWidth, Flipped(new DCacheStoreIO)) // for non-blocking store 733 val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 734 val tl_d_channel = Output(new DcacheToLduForwardIO) 735 val store = new DCacheToSbufferIO // for sbuffer 736 val atomics = Flipped(new AtomicWordIO) // atomics reqs 737 val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check 738 val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO)) 739 val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO) 740} 741 742class DCacheTopDownIO(implicit p: Parameters) extends DCacheBundle { 743 val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 744 val robHeadMissInDCache = Output(Bool()) 745 val robHeadOtherReplay = Input(Bool()) 746} 747 748class DCacheIO(implicit p: Parameters) extends DCacheBundle { 749 val hartId = Input(UInt(8.W)) 750 val l2_pf_store_only = Input(Bool()) 751 val lsu = new DCacheToLsuIO 752 val csr = new L1CacheToCsrIO 753 val error = new L1CacheErrorInfo 754 val mshrFull = Output(Bool()) 755 val memSetPattenDetected = Output(Bool()) 756 val lqEmpty = Input(Bool()) 757 val pf_ctrl = Output(new PrefetchControlBundle) 758 val force_write = Input(Bool()) 759 val sms_agt_evict_req = DecoupledIO(new AGTEvictReq) 760 val debugTopDown = new DCacheTopDownIO 761 val debugRolling = Flipped(new RobDebugRollingIO) 762} 763 764class DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 765 override def shouldBeInlined: Boolean = false 766 767 val reqFields: Seq[BundleFieldBase] = Seq( 768 PrefetchField(), 769 ReqSourceField(), 770 VaddrField(VAddrBits - blockOffBits), 771 ) ++ cacheParams.aliasBitsOpt.map(AliasField) 772 val echoFields: Seq[BundleFieldBase] = Nil 773 774 val clientParameters = TLMasterPortParameters.v1( 775 Seq(TLMasterParameters.v1( 776 name = "dcache", 777 sourceId = IdRange(0, nEntries + 1), 778 supportsProbe = TransferSizes(cfg.blockBytes) 779 )), 780 requestFields = reqFields, 781 echoFields = echoFields 782 ) 783 784 val clientNode = TLClientNode(Seq(clientParameters)) 785 786 lazy val module = new DCacheImp(this) 787} 788 789 790class DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents with HasL1PrefetchSourceParameter { 791 792 val io = IO(new DCacheIO) 793 794 val (bus, edge) = outer.clientNode.out.head 795 require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 796 797 println("DCache:") 798 println(" DCacheSets: " + DCacheSets) 799 println(" DCacheSetDiv: " + DCacheSetDiv) 800 println(" DCacheWays: " + DCacheWays) 801 println(" DCacheBanks: " + DCacheBanks) 802 println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 803 println(" DCacheWordOffset: " + DCacheWordOffset) 804 println(" DCacheBankOffset: " + DCacheBankOffset) 805 println(" DCacheSetOffset: " + DCacheSetOffset) 806 println(" DCacheTagOffset: " + DCacheTagOffset) 807 println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 808 println(" DcacheMaxPrefetchEntry: " + MaxPrefetchEntry) 809 println(" WPUEnable: " + dwpuParam.enWPU) 810 println(" WPUEnableCfPred: " + dwpuParam.enCfPred) 811 println(" WPUAlgorithm: " + dwpuParam.algoName) 812 813 // Enable L1 Store prefetch 814 val StorePrefetchL1Enabled = EnableStorePrefetchAtCommit || EnableStorePrefetchAtIssue || EnableStorePrefetchSPB 815 val MetaReadPort = if(StorePrefetchL1Enabled) LoadPipelineWidth + 1 + StorePipelineWidth else LoadPipelineWidth + 1 816 val TagReadPort = if(StorePrefetchL1Enabled) LoadPipelineWidth + 1 + StorePipelineWidth else LoadPipelineWidth + 1 817 818 // Enable L1 Load prefetch 819 val LoadPrefetchL1Enabled = true 820 val AccessArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 821 val PrefetchArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 822 823 //---------------------------------------- 824 // core data structures 825 val bankedDataArray = if(dwpuParam.enWPU) Module(new SramedDataArray) else Module(new BankedDataArray) 826 val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2)) 827 val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2)) 828 val prefetchArray = Module(new L1PrefetchSourceArray(readPorts = PrefetchArrayReadPort, writePorts = 2 + LoadPipelineWidth)) // prefetch flag array 829 val accessArray = Module(new L1FlagMetaArray(readPorts = AccessArrayReadPort, writePorts = LoadPipelineWidth + 2)) 830 val tagArray = Module(new DuplicatedTagArray(readPorts = TagReadPort)) 831 val prefetcherMonitor = Module(new PrefetcherMonitor) 832 val fdpMonitor = Module(new FDPrefetcherMonitor) 833 val bloomFilter = Module(new BloomFilter(BLOOM_FILTER_ENTRY_NUM, true)) 834 val counterFilter = Module(new CounterFilter) 835 bankedDataArray.dump() 836 837 //---------------------------------------- 838 // core modules 839 val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 840 val stu = Seq.tabulate(StorePipelineWidth)({ i => Module(new StorePipe(i))}) 841 val mainPipe = Module(new MainPipe) 842 val refillPipe = Module(new RefillPipe) 843 val missQueue = Module(new MissQueue(edge)) 844 val probeQueue = Module(new ProbeQueue(edge)) 845 val wb = Module(new WritebackQueue(edge)) 846 847 missQueue.io.lqEmpty := io.lqEmpty 848 missQueue.io.hartId := io.hartId 849 missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B) 850 missQueue.io.debugTopDown <> io.debugTopDown 851 missQueue.io.sms_agt_evict_req <> io.sms_agt_evict_req 852 io.memSetPattenDetected := missQueue.io.memSetPattenDetected 853 854 val errors = ldu.map(_.io.error) ++ // load error 855 Seq(mainPipe.io.error) // store / misc error 856 io.error <> RegNext(Mux1H(errors.map(e => RegNext(e.valid) -> RegNext(e)))) 857 858 //---------------------------------------- 859 // meta array 860 861 // read / write coh meta 862 val meta_read_ports = ldu.map(_.io.meta_read) ++ 863 Seq(mainPipe.io.meta_read) ++ 864 stu.map(_.io.meta_read) 865 866 val meta_resp_ports = ldu.map(_.io.meta_resp) ++ 867 Seq(mainPipe.io.meta_resp) ++ 868 stu.map(_.io.meta_resp) 869 870 val meta_write_ports = Seq( 871 mainPipe.io.meta_write, 872 refillPipe.io.meta_write 873 ) 874 if(StorePrefetchL1Enabled) { 875 meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p } 876 meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r } 877 }else { 878 meta_read_ports.take(LoadPipelineWidth + 1).zip(metaArray.io.read).foreach { case (p, r) => r <> p } 879 meta_resp_ports.take(LoadPipelineWidth + 1).zip(metaArray.io.resp).foreach { case (p, r) => p := r } 880 881 meta_read_ports.drop(LoadPipelineWidth + 1).foreach { case p => p.ready := false.B } 882 meta_resp_ports.drop(LoadPipelineWidth + 1).foreach { case p => p := 0.U.asTypeOf(p) } 883 } 884 meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p } 885 886 // read extra meta (exclude stu) 887 meta_read_ports.take(LoadPipelineWidth + 1).zip(errorArray.io.read).foreach { case (p, r) => r <> p } 888 meta_read_ports.take(LoadPipelineWidth + 1).zip(prefetchArray.io.read).foreach { case (p, r) => r <> p } 889 meta_read_ports.take(LoadPipelineWidth + 1).zip(accessArray.io.read).foreach { case (p, r) => r <> p } 890 val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp) ++ 891 Seq(mainPipe.io.extra_meta_resp) 892 extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => { 893 (0 until nWays).map(i => { p(i).error := r(i) }) 894 }} 895 extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => { 896 (0 until nWays).map(i => { p(i).prefetch := r(i) }) 897 }} 898 extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => { 899 (0 until nWays).map(i => { p(i).access := r(i) }) 900 }} 901 902 if(LoadPrefetchL1Enabled) { 903 // use last port to read prefetch and access flag 904 prefetchArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 905 prefetchArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 906 prefetchArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 907 908 accessArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 909 accessArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 910 accessArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 911 912 val extra_flag_valid = RegNext(refillPipe.io.prefetch_flag_write.valid) 913 val extra_flag_way_en = RegEnable(refillPipe.io.prefetch_flag_write.bits.way_en, refillPipe.io.prefetch_flag_write.valid) 914 val extra_flag_prefetch = Mux1H(extra_flag_way_en, prefetchArray.io.resp.last) 915 val extra_flag_access = Mux1H(extra_flag_way_en, accessArray.io.resp.last) 916 917 prefetcherMonitor.io.validity.good_prefetch := extra_flag_valid && isFromL1Prefetch(extra_flag_prefetch) && extra_flag_access 918 prefetcherMonitor.io.validity.bad_prefetch := extra_flag_valid && isFromL1Prefetch(extra_flag_prefetch) && !extra_flag_access 919 } 920 921 // write extra meta 922 val error_flag_write_ports = Seq( 923 mainPipe.io.error_flag_write, // error flag generated by corrupted store 924 refillPipe.io.error_flag_write // corrupted signal from l2 925 ) 926 error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p } 927 928 val prefetch_flag_write_ports = ldu.map(_.io.prefetch_flag_write) ++ Seq( 929 mainPipe.io.prefetch_flag_write, // set prefetch_flag to false if coh is set to Nothing 930 refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag 931 ) 932 prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p } 933 934 val same_cycle_update_pf_flag = ldu(0).io.prefetch_flag_write.valid && ldu(1).io.prefetch_flag_write.valid && (ldu(0).io.prefetch_flag_write.bits.idx === ldu(1).io.prefetch_flag_write.bits.idx) && (ldu(0).io.prefetch_flag_write.bits.way_en === ldu(1).io.prefetch_flag_write.bits.way_en) 935 XSPerfAccumulate("same_cycle_update_pf_flag", same_cycle_update_pf_flag) 936 937 val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq( 938 mainPipe.io.access_flag_write, 939 refillPipe.io.access_flag_write 940 ) 941 access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p } 942 943 //---------------------------------------- 944 // tag array 945 if(StorePrefetchL1Enabled) { 946 require(tagArray.io.read.size == (ldu.size + stu.size + 1)) 947 }else { 948 require(tagArray.io.read.size == (ldu.size + 1)) 949 } 950 val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend 951 assert(!RegNext(!tag_write_intend && tagArray.io.write.valid)) 952 ldu.zipWithIndex.foreach { 953 case (ld, i) => 954 tagArray.io.read(i) <> ld.io.tag_read 955 ld.io.tag_resp := tagArray.io.resp(i) 956 ld.io.tag_read.ready := !tag_write_intend 957 } 958 if(StorePrefetchL1Enabled) { 959 stu.zipWithIndex.foreach { 960 case (st, i) => 961 tagArray.io.read(ldu.size + i) <> st.io.tag_read 962 st.io.tag_resp := tagArray.io.resp(ldu.size + i) 963 st.io.tag_read.ready := !tag_write_intend 964 } 965 }else { 966 stu.foreach { 967 case st => 968 st.io.tag_read.ready := false.B 969 st.io.tag_resp := 0.U.asTypeOf(st.io.tag_resp) 970 } 971 } 972 tagArray.io.read.last <> mainPipe.io.tag_read 973 mainPipe.io.tag_resp := tagArray.io.resp.last 974 975 val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid)) 976 XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle) 977 978 val tag_write_arb = Module(new Arbiter(new TagWriteReq, 2)) 979 tag_write_arb.io.in(0) <> refillPipe.io.tag_write 980 tag_write_arb.io.in(1) <> mainPipe.io.tag_write 981 tagArray.io.write <> tag_write_arb.io.out 982 983 ldu.map(m => { 984 m.io.vtag_update.valid := tagArray.io.write.valid 985 m.io.vtag_update.bits := tagArray.io.write.bits 986 }) 987 988 //---------------------------------------- 989 // data array 990 mainPipe.io.data_read.zip(ldu).map(x => x._1 := x._2.io.lsu.req.valid) 991 992 val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 2)) 993 dataWriteArb.io.in(0) <> refillPipe.io.data_write 994 dataWriteArb.io.in(1) <> mainPipe.io.data_write 995 996 bankedDataArray.io.write <> dataWriteArb.io.out 997 998 for (bank <- 0 until DCacheBanks) { 999 val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 2)) 1000 dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid 1001 dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits 1002 dataWriteArb_dup.io.in(1).valid := mainPipe.io.data_write_dup(bank).valid 1003 dataWriteArb_dup.io.in(1).bits := mainPipe.io.data_write_dup(bank).bits 1004 1005 bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out 1006 } 1007 1008 bankedDataArray.io.readline <> mainPipe.io.data_readline 1009 bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend 1010 mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed 1011 mainPipe.io.data_resp := bankedDataArray.io.readline_resp 1012 1013 (0 until LoadPipelineWidth).map(i => { 1014 bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read 1015 bankedDataArray.io.is128Req(i) <> ldu(i).io.is128Req 1016 bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed 1017 1018 ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp_delayed(i) 1019 1020 ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i) 1021 }) 1022 1023 (0 until LoadPipelineWidth).map(i => { 1024 val (_, _, done, _) = edge.count(bus.d) 1025 when(bus.d.bits.opcode === TLMessages.GrantData) { 1026 io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done) 1027 }.otherwise { 1028 io.lsu.forward_D(i).dontCare() 1029 } 1030 }) 1031 // tl D channel wakeup 1032 val (_, _, done, _) = edge.count(bus.d) 1033 when (bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.Grant) { 1034 io.lsu.tl_d_channel.apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done) 1035 } .otherwise { 1036 io.lsu.tl_d_channel.dontCare() 1037 } 1038 mainPipe.io.force_write <> io.force_write 1039 1040 /** dwpu */ 1041 val dwpu = Module(new DCacheWpuWrapper(LoadPipelineWidth)) 1042 for(i <- 0 until LoadPipelineWidth){ 1043 dwpu.io.req(i) <> ldu(i).io.dwpu.req(0) 1044 dwpu.io.resp(i) <> ldu(i).io.dwpu.resp(0) 1045 dwpu.io.lookup_upd(i) <> ldu(i).io.dwpu.lookup_upd(0) 1046 dwpu.io.cfpred(i) <> ldu(i).io.dwpu.cfpred(0) 1047 } 1048 dwpu.io.tagwrite_upd.valid := tagArray.io.write.valid 1049 dwpu.io.tagwrite_upd.bits.vaddr := tagArray.io.write.bits.vaddr 1050 dwpu.io.tagwrite_upd.bits.s1_real_way_en := tagArray.io.write.bits.way_en 1051 1052 //---------------------------------------- 1053 // load pipe 1054 // the s1 kill signal 1055 // only lsu uses this, replay never kills 1056 for (w <- 0 until LoadPipelineWidth) { 1057 ldu(w).io.lsu <> io.lsu.load(w) 1058 1059 // TODO:when have load128Req 1060 ldu(w).io.load128Req := false.B 1061 1062 // replay and nack not needed anymore 1063 // TODO: remove replay and nack 1064 ldu(w).io.nack := false.B 1065 1066 ldu(w).io.disable_ld_fast_wakeup := 1067 bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict 1068 } 1069 1070 prefetcherMonitor.io.timely.total_prefetch := ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) 1071 prefetcherMonitor.io.timely.late_hit_prefetch := ldu.map(_.io.prefetch_info.naive.late_hit_prefetch).reduce(_ || _) 1072 prefetcherMonitor.io.timely.late_miss_prefetch := missQueue.io.prefetch_info.naive.late_miss_prefetch 1073 prefetcherMonitor.io.timely.prefetch_hit := PopCount(ldu.map(_.io.prefetch_info.naive.prefetch_hit)) 1074 io.pf_ctrl <> prefetcherMonitor.io.pf_ctrl 1075 XSPerfAccumulate("useless_prefetch", ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) && !(ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _))) 1076 XSPerfAccumulate("useful_prefetch", ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _)) 1077 XSPerfAccumulate("late_prefetch_hit", ldu.map(_.io.prefetch_info.naive.late_prefetch_hit).reduce(_ || _)) 1078 XSPerfAccumulate("late_load_hit", ldu.map(_.io.prefetch_info.naive.late_load_hit).reduce(_ || _)) 1079 1080 /** LoadMissDB: record load miss state */ 1081 val isWriteLoadMissTable = WireInit(Constantin.createRecord("isWriteLoadMissTable" + p(XSCoreParamsKey).HartId.toString)) 1082 val isFirstHitWrite = WireInit(Constantin.createRecord("isFirstHitWrite" + p(XSCoreParamsKey).HartId.toString)) 1083 val tableName = "LoadMissDB" + p(XSCoreParamsKey).HartId.toString 1084 val siteName = "DcacheWrapper" + p(XSCoreParamsKey).HartId.toString 1085 val loadMissTable = ChiselDB.createTable(tableName, new LoadMissEntry) 1086 for( i <- 0 until LoadPipelineWidth){ 1087 val loadMissEntry = Wire(new LoadMissEntry) 1088 val loadMissWriteEn = 1089 (!ldu(i).io.lsu.resp.bits.replay && ldu(i).io.miss_req.fire) || 1090 (ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid && isFirstHitWrite.orR) 1091 loadMissEntry.timeCnt := GTimer() 1092 loadMissEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 1093 loadMissEntry.paddr := ldu(i).io.miss_req.bits.addr 1094 loadMissEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 1095 loadMissEntry.missState := OHToUInt(Cat(Seq( 1096 ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 1097 ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 1098 ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 1099 ))) 1100 loadMissTable.log( 1101 data = loadMissEntry, 1102 en = isWriteLoadMissTable.orR && loadMissWriteEn, 1103 site = siteName, 1104 clock = clock, 1105 reset = reset 1106 ) 1107 } 1108 1109 val isWriteLoadAccessTable = WireInit(Constantin.createRecord("isWriteLoadAccessTable" + p(XSCoreParamsKey).HartId.toString)) 1110 val loadAccessTable = ChiselDB.createTable("LoadAccessDB" + p(XSCoreParamsKey).HartId.toString, new LoadAccessEntry) 1111 for (i <- 0 until LoadPipelineWidth) { 1112 val loadAccessEntry = Wire(new LoadAccessEntry) 1113 loadAccessEntry.timeCnt := GTimer() 1114 loadAccessEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 1115 loadAccessEntry.paddr := ldu(i).io.miss_req.bits.addr 1116 loadAccessEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 1117 loadAccessEntry.missState := OHToUInt(Cat(Seq( 1118 ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 1119 ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 1120 ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 1121 ))) 1122 loadAccessEntry.pred_way_num := ldu(i).io.lsu.debug_s2_pred_way_num 1123 loadAccessEntry.real_way_num := ldu(i).io.lsu.debug_s2_real_way_num 1124 loadAccessEntry.dm_way_num := ldu(i).io.lsu.debug_s2_dm_way_num 1125 loadAccessTable.log( 1126 data = loadAccessEntry, 1127 en = isWriteLoadAccessTable.orR && ldu(i).io.lsu.resp.valid, 1128 site = siteName + "_loadpipe" + i.toString, 1129 clock = clock, 1130 reset = reset 1131 ) 1132 } 1133 1134 //---------------------------------------- 1135 // Sta pipe 1136 for (w <- 0 until StorePipelineWidth) { 1137 stu(w).io.lsu <> io.lsu.sta(w) 1138 } 1139 1140 //---------------------------------------- 1141 // atomics 1142 // atomics not finished yet 1143 // io.lsu.atomics <> atomicsReplayUnit.io.lsu 1144 io.lsu.atomics.resp := RegNext(mainPipe.io.atomic_resp) 1145 io.lsu.atomics.block_lr := mainPipe.io.block_lr 1146 // atomicsReplayUnit.io.pipe_resp := RegNext(mainPipe.io.atomic_resp) 1147 // atomicsReplayUnit.io.block_lr <> mainPipe.io.block_lr 1148 1149 //---------------------------------------- 1150 // miss queue 1151 // missReqArb port: 1152 // enableStorePrefetch: main pipe * 1 + load pipe * 2 + store pipe * 2; disable: main pipe * 1 + load pipe * 2 1153 // higher priority is given to lower indices 1154 val MissReqPortCount = if(StorePrefetchL1Enabled) LoadPipelineWidth + 1 + StorePipelineWidth else LoadPipelineWidth + 1 1155 val MainPipeMissReqPort = 0 1156 1157 // Request 1158 val missReqArb = Module(new ArbiterFilterByCacheLineAddr(new MissReq, MissReqPortCount, blockOffBits, PAddrBits)) 1159 1160 missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 1161 for (w <- 0 until LoadPipelineWidth) { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req } 1162 1163 for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp := missQueue.io.resp } 1164 mainPipe.io.miss_resp := missQueue.io.resp 1165 1166 if(StorePrefetchL1Enabled) { 1167 for (w <- 0 until StorePipelineWidth) { missReqArb.io.in(w + 1 + LoadPipelineWidth) <> stu(w).io.miss_req } 1168 }else { 1169 for (w <- 0 until StorePipelineWidth) { stu(w).io.miss_req.ready := false.B } 1170 } 1171 1172 wb.io.miss_req.valid := missReqArb.io.out.valid 1173 wb.io.miss_req.bits := missReqArb.io.out.bits.addr 1174 1175 // block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req) 1176 missReqArb.io.out <> missQueue.io.req 1177 when(wb.io.block_miss_req) { 1178 missQueue.io.req.bits.cancel := true.B 1179 missReqArb.io.out.ready := false.B 1180 } 1181 1182 for (w <- 0 until LoadPipelineWidth) { ldu(w).io.mq_enq_cancel := missQueue.io.mq_enq_cancel } 1183 1184 XSPerfAccumulate("miss_queue_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) >= 1.U) 1185 XSPerfAccumulate("miss_queue_muti_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) > 1.U) 1186 1187 XSPerfAccumulate("miss_queue_has_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) >= 1.U) 1188 XSPerfAccumulate("miss_queue_has_muti_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U) 1189 XSPerfAccumulate("miss_queue_has_muti_enq_but_not_fire", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U && PopCount(VecInit(missReqArb.io.in.map(_.fire))) === 0.U) 1190 1191 // forward missqueue 1192 (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i))) 1193 1194 // refill to load queue 1195 io.lsu.lsq <> missQueue.io.refill_to_ldq 1196 1197 // tilelink stuff 1198 bus.a <> missQueue.io.mem_acquire 1199 bus.e <> missQueue.io.mem_finish 1200 missQueue.io.probe_addr := bus.b.bits.address 1201 1202 missQueue.io.main_pipe_resp := RegNext(mainPipe.io.atomic_resp) 1203 1204 //---------------------------------------- 1205 // probe 1206 // probeQueue.io.mem_probe <> bus.b 1207 block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 1208 probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 1209 probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set 1210 1211 //---------------------------------------- 1212 // mainPipe 1213 // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe, 1214 // block the req in main pipe 1215 block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, missQueue.io.refill_pipe_req.valid) 1216 block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refillPipe.io.req.valid) 1217 1218 io.lsu.store.replay_resp := RegNext(mainPipe.io.store_replay_resp) 1219 io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp 1220 1221 arbiter_with_pipereg( 1222 in = Seq(missQueue.io.main_pipe_req, io.lsu.atomics.req), 1223 out = mainPipe.io.atomic_req, 1224 name = Some("main_pipe_atomic_req") 1225 ) 1226 1227 mainPipe.io.invalid_resv_set := RegNext(wb.io.req.fire && wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits) 1228 1229 //---------------------------------------- 1230 // replace (main pipe) 1231 val mpStatus = mainPipe.io.status 1232 mainPipe.io.replace_req <> missQueue.io.replace_pipe_req 1233 missQueue.io.replace_pipe_resp := mainPipe.io.replace_resp 1234 1235 //---------------------------------------- 1236 // refill pipe 1237 val refillShouldBeBlocked = (mpStatus.s1.valid && mpStatus.s1.bits.set === missQueue.io.refill_pipe_req.bits.idx) || 1238 Cat(Seq(mpStatus.s2, mpStatus.s3).map(s => 1239 s.valid && 1240 s.bits.set === missQueue.io.refill_pipe_req.bits.idx && 1241 s.bits.way_en === missQueue.io.refill_pipe_req.bits.way_en 1242 )).orR 1243 block_decoupled(missQueue.io.refill_pipe_req, refillPipe.io.req, refillShouldBeBlocked) 1244 1245 val mpStatus_dup = mainPipe.io.status_dup 1246 val mq_refill_dup = missQueue.io.refill_pipe_req_dup 1247 val refillShouldBeBlocked_dup = VecInit((0 until nDupStatus).map { case i => 1248 mpStatus_dup(i).s1.valid && mpStatus_dup(i).s1.bits.set === mq_refill_dup(i).bits.idx || 1249 Cat(Seq(mpStatus_dup(i).s2, mpStatus_dup(i).s3).map(s => 1250 s.valid && 1251 s.bits.set === mq_refill_dup(i).bits.idx && 1252 s.bits.way_en === mq_refill_dup(i).bits.way_en 1253 )).orR 1254 }) 1255 dontTouch(refillShouldBeBlocked_dup) 1256 1257 refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) => 1258 r.bits := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).bits 1259 } 1260 refillPipe.io.req_dup_for_meta_w.bits := mq_refill_dup(metaWritePort).bits 1261 refillPipe.io.req_dup_for_tag_w.bits := mq_refill_dup(tagWritePort).bits 1262 refillPipe.io.req_dup_for_err_w.bits := mq_refill_dup(errWritePort).bits 1263 refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) => 1264 r.valid := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).valid && 1265 !(refillShouldBeBlocked_dup.drop(dataWritePort).take(DCacheBanks))(i) 1266 } 1267 refillPipe.io.req_dup_for_meta_w.valid := mq_refill_dup(metaWritePort).valid && !refillShouldBeBlocked_dup(metaWritePort) 1268 refillPipe.io.req_dup_for_tag_w.valid := mq_refill_dup(tagWritePort).valid && !refillShouldBeBlocked_dup(tagWritePort) 1269 refillPipe.io.req_dup_for_err_w.valid := mq_refill_dup(errWritePort).valid && !refillShouldBeBlocked_dup(errWritePort) 1270 1271 val refillPipe_io_req_valid_dup = VecInit(mq_refill_dup.zip(refillShouldBeBlocked_dup).map( 1272 x => x._1.valid && !x._2 1273 )) 1274 val refillPipe_io_data_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(0, nDupDataWriteReady)) 1275 val refillPipe_io_tag_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(nDupDataWriteReady, nDupStatus)) 1276 dontTouch(refillPipe_io_req_valid_dup) 1277 dontTouch(refillPipe_io_data_write_valid_dup) 1278 dontTouch(refillPipe_io_tag_write_valid_dup) 1279 mainPipe.io.data_write_ready_dup := VecInit(refillPipe_io_data_write_valid_dup.map(v => !v)) 1280 mainPipe.io.tag_write_ready_dup := VecInit(refillPipe_io_tag_write_valid_dup.map(v => !v)) 1281 mainPipe.io.wb_ready_dup := wb.io.req_ready_dup 1282 1283 mq_refill_dup.zip(refillShouldBeBlocked_dup).foreach { case (r, block) => 1284 r.ready := refillPipe.io.req.ready && !block 1285 } 1286 1287 missQueue.io.refill_pipe_resp := refillPipe.io.resp 1288 io.lsu.store.refill_hit_resp := RegNext(refillPipe.io.store_resp) 1289 1290 //---------------------------------------- 1291 // wb 1292 // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 1293 1294 wb.io.req <> mainPipe.io.wb 1295 bus.c <> wb.io.mem_release 1296 wb.io.release_wakeup := refillPipe.io.release_wakeup 1297 wb.io.release_update := mainPipe.io.release_update 1298 wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req 1299 wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp 1300 1301 io.lsu.release.valid := RegNext(wb.io.req.fire) 1302 io.lsu.release.bits.paddr := RegNext(wb.io.req.bits.addr) 1303 // Note: RegNext() is required by: 1304 // * load queue released flag update logic 1305 // * load / load violation check logic 1306 // * and timing requirements 1307 // CHANGE IT WITH CARE 1308 1309 // connect bus d 1310 missQueue.io.mem_grant.valid := false.B 1311 missQueue.io.mem_grant.bits := DontCare 1312 1313 wb.io.mem_grant.valid := false.B 1314 wb.io.mem_grant.bits := DontCare 1315 1316 // in L1DCache, we ony expect Grant[Data] and ReleaseAck 1317 bus.d.ready := false.B 1318 when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) { 1319 missQueue.io.mem_grant <> bus.d 1320 } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 1321 wb.io.mem_grant <> bus.d 1322 } .otherwise { 1323 assert (!bus.d.fire) 1324 } 1325 1326 //---------------------------------------- 1327 // Feedback Direct Prefetch Monitor 1328 fdpMonitor.io.refill := missQueue.io.prefetch_info.fdp.prefetch_monitor_cnt 1329 fdpMonitor.io.timely.late_prefetch := missQueue.io.prefetch_info.fdp.late_miss_prefetch 1330 fdpMonitor.io.accuracy.total_prefetch := missQueue.io.prefetch_info.fdp.total_prefetch 1331 for (w <- 0 until LoadPipelineWidth) { 1332 if(w == 0) { 1333 fdpMonitor.io.accuracy.useful_prefetch(w) := ldu(w).io.prefetch_info.fdp.useful_prefetch 1334 }else { 1335 fdpMonitor.io.accuracy.useful_prefetch(w) := Mux(same_cycle_update_pf_flag, false.B, ldu(w).io.prefetch_info.fdp.useful_prefetch) 1336 } 1337 } 1338 for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.cache_pollution(w) := ldu(w).io.prefetch_info.fdp.pollution } 1339 for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.demand_miss(w) := ldu(w).io.prefetch_info.fdp.demand_miss } 1340 fdpMonitor.io.debugRolling := io.debugRolling 1341 1342 //---------------------------------------- 1343 // Bloom Filter 1344 bloomFilter.io.set <> missQueue.io.bloom_filter_query.set 1345 bloomFilter.io.clr <> missQueue.io.bloom_filter_query.clr 1346 1347 for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.query(w) <> ldu(w).io.bloom_filter_query.query } 1348 for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.resp(w) <> ldu(w).io.bloom_filter_query.resp } 1349 1350 for (w <- 0 until LoadPipelineWidth) { counterFilter.io.ld_in(w) <> ldu(w).io.counter_filter_enq } 1351 for (w <- 0 until LoadPipelineWidth) { counterFilter.io.query(w) <> ldu(w).io.counter_filter_query } 1352 1353 //---------------------------------------- 1354 // replacement algorithm 1355 val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets) 1356 val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) ++ stu.map(_.io.replace_way) 1357 1358 val victimList = VictimList(nSets) 1359 if (dwpuParam.enCfPred) { 1360 when(missQueue.io.replace_pipe_req.valid) { 1361 victimList.replace(get_idx(missQueue.io.replace_pipe_req.bits.vaddr)) 1362 } 1363 replWayReqs.foreach { 1364 case req => 1365 req.way := DontCare 1366 when(req.set.valid) { 1367 when(victimList.whether_sa(req.set.bits)) { 1368 req.way := replacer.way(req.set.bits) 1369 }.otherwise { 1370 req.way := req.dmWay 1371 } 1372 } 1373 } 1374 } else { 1375 replWayReqs.foreach { 1376 case req => 1377 req.way := DontCare 1378 when(req.set.valid) { 1379 req.way := replacer.way(req.set.bits) 1380 } 1381 } 1382 } 1383 1384 val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq( 1385 mainPipe.io.replace_access 1386 ) ++ stu.map(_.io.replace_access) 1387 val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W)))) 1388 touchWays.zip(replAccessReqs).foreach { 1389 case (w, req) => 1390 w.valid := req.valid 1391 w.bits := req.bits.way 1392 } 1393 val touchSets = replAccessReqs.map(_.bits.set) 1394 replacer.access(touchSets, touchWays) 1395 1396 //---------------------------------------- 1397 // assertions 1398 // dcache should only deal with DRAM addresses 1399 when (bus.a.fire) { 1400 assert(bus.a.bits.address >= 0x80000000L.U) 1401 } 1402 when (bus.b.fire) { 1403 assert(bus.b.bits.address >= 0x80000000L.U) 1404 } 1405 when (bus.c.fire) { 1406 assert(bus.c.bits.address >= 0x80000000L.U) 1407 } 1408 1409 //---------------------------------------- 1410 // utility functions 1411 def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 1412 sink.valid := source.valid && !block_signal 1413 source.ready := sink.ready && !block_signal 1414 sink.bits := source.bits 1415 } 1416 1417 //---------------------------------------- 1418 // Customized csr cache op support 1419 val cacheOpDecoder = Module(new CSRCacheOpDecoder("dcache", CacheInstrucion.COP_ID_DCACHE)) 1420 cacheOpDecoder.io.csr <> io.csr 1421 bankedDataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1422 // dup cacheOp_req_valid 1423 bankedDataArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1424 // dup cacheOp_req_bits_opCode 1425 bankedDataArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1426 1427 tagArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1428 // dup cacheOp_req_valid 1429 tagArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1430 // dup cacheOp_req_bits_opCode 1431 tagArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1432 1433 cacheOpDecoder.io.cache.resp.valid := bankedDataArray.io.cacheOp.resp.valid || 1434 tagArray.io.cacheOp.resp.valid 1435 cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 1436 bankedDataArray.io.cacheOp.resp.valid -> bankedDataArray.io.cacheOp.resp.bits, 1437 tagArray.io.cacheOp.resp.valid -> tagArray.io.cacheOp.resp.bits, 1438 )) 1439 cacheOpDecoder.io.error := io.error 1440 assert(!((bankedDataArray.io.cacheOp.resp.valid +& tagArray.io.cacheOp.resp.valid) > 1.U)) 1441 1442 //---------------------------------------- 1443 // performance counters 1444 val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire)) 1445 XSPerfAccumulate("num_loads", num_loads) 1446 1447 io.mshrFull := missQueue.io.full 1448 1449 // performance counter 1450 val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType)) 1451 val st_access = Wire(ld_access.last.cloneType) 1452 ld_access.zip(ldu).foreach { 1453 case (a, u) => 1454 a.valid := RegNext(u.io.lsu.req.fire) && !u.io.lsu.s1_kill 1455 a.bits.idx := RegNext(get_idx(u.io.lsu.req.bits.vaddr)) 1456 a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache) 1457 } 1458 st_access.valid := RegNext(mainPipe.io.store_req.fire) 1459 st_access.bits.idx := RegNext(get_idx(mainPipe.io.store_req.bits.vaddr)) 1460 st_access.bits.tag := RegNext(get_tag(mainPipe.io.store_req.bits.addr)) 1461 val access_info = ld_access.toSeq ++ Seq(st_access) 1462 val early_replace = RegNext(missQueue.io.debug_early_replace) 1463 val access_early_replace = access_info.map { 1464 case acc => 1465 Cat(early_replace.map { 1466 case r => 1467 acc.valid && r.valid && 1468 acc.bits.tag === r.bits.tag && 1469 acc.bits.idx === r.bits.idx 1470 }) 1471 } 1472 XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace))) 1473 1474 val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents) 1475 generatePerfEvent() 1476} 1477 1478class AMOHelper() extends ExtModule { 1479 val clock = IO(Input(Clock())) 1480 val enable = IO(Input(Bool())) 1481 val cmd = IO(Input(UInt(5.W))) 1482 val addr = IO(Input(UInt(64.W))) 1483 val wdata = IO(Input(UInt(64.W))) 1484 val mask = IO(Input(UInt(8.W))) 1485 val rdata = IO(Output(UInt(64.W))) 1486} 1487 1488class DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 1489 override def shouldBeInlined: Boolean = false 1490 1491 val useDcache = coreParams.dcacheParametersOpt.nonEmpty 1492 val clientNode = if (useDcache) TLIdentityNode() else null 1493 val dcache = if (useDcache) LazyModule(new DCache()) else null 1494 if (useDcache) { 1495 clientNode := dcache.clientNode 1496 } 1497 1498 class DCacheWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents { 1499 val io = IO(new DCacheIO) 1500 val perfEvents = if (!useDcache) { 1501 // a fake dcache which uses dpi-c to access memory, only for debug usage! 1502 val fake_dcache = Module(new FakeDCache()) 1503 io <> fake_dcache.io 1504 Seq() 1505 } 1506 else { 1507 io <> dcache.module.io 1508 dcache.module.getPerfEvents 1509 } 1510 generatePerfEvent() 1511 } 1512 1513 lazy val module = new DCacheWrapperImp(this) 1514} 1515