11f0e2dc7SJiawei Lin/*************************************************************************************** 21f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Peng Cheng Laboratory 41f0e2dc7SJiawei Lin* 51f0e2dc7SJiawei Lin* XiangShan is licensed under Mulan PSL v2. 61f0e2dc7SJiawei Lin* You can use this software according to the terms and conditions of the Mulan PSL v2. 71f0e2dc7SJiawei Lin* You may obtain a copy of Mulan PSL v2 at: 81f0e2dc7SJiawei Lin* http://license.coscl.org.cn/MulanPSL2 91f0e2dc7SJiawei Lin* 101f0e2dc7SJiawei Lin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111f0e2dc7SJiawei Lin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121f0e2dc7SJiawei Lin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131f0e2dc7SJiawei Lin* 141f0e2dc7SJiawei Lin* See the Mulan PSL v2 for more details. 151f0e2dc7SJiawei Lin***************************************************************************************/ 161f0e2dc7SJiawei Lin 171f0e2dc7SJiawei Linpackage xiangshan.cache 181f0e2dc7SJiawei Lin 191f0e2dc7SJiawei Linimport chisel3._ 201f0e2dc7SJiawei Linimport chisel3.experimental.ExtModule 211f0e2dc7SJiawei Linimport chisel3.util._ 227f37d55fSTang Haojinimport coupledL2.VaddrField 23d2945707SHuijin Liimport coupledL2.IsKeywordField 24d2945707SHuijin Liimport coupledL2.IsKeywordKey 251f0e2dc7SJiawei Linimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 261f0e2dc7SJiawei Linimport freechips.rocketchip.tilelink._ 277f37d55fSTang Haojinimport freechips.rocketchip.util.BundleFieldBase 287f37d55fSTang Haojinimport huancun.{AliasField, PrefetchField} 297f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters 307f37d55fSTang Haojinimport utility._ 317f37d55fSTang Haojinimport utils._ 327f37d55fSTang Haojinimport xiangshan._ 339ae95edaSAnzoooooimport xiangshan.backend.Bundles.DynInst 347f37d55fSTang Haojinimport xiangshan.backend.rob.RobDebugRollingIO 3504665835SMaxpicca-Liimport xiangshan.cache.wpu._ 367f37d55fSTang Haojinimport xiangshan.mem.{AddPipelineReg, HasL1PrefetchSourceParameter} 370d32f713Shappy-lximport xiangshan.mem.prefetch._ 38d2945707SHuijin Liimport xiangshan.mem.LqPtr 395668a921SJiawei Lin 401f0e2dc7SJiawei Lin// DCache specific parameters 411f0e2dc7SJiawei Lincase class DCacheParameters 421f0e2dc7SJiawei Lin( 4320e09ab1Shappy-lx nSets: Int = 128, 441f0e2dc7SJiawei Lin nWays: Int = 8, 45af22dd7cSWilliam Wang rowBits: Int = 64, 461f0e2dc7SJiawei Lin tagECC: Option[String] = None, 471f0e2dc7SJiawei Lin dataECC: Option[String] = None, 48300ded30SWilliam Wang replacer: Option[String] = Some("setplru"), 49fa9ac9b6SWilliam Wang updateReplaceOn2ndmiss: Boolean = true, 501f0e2dc7SJiawei Lin nMissEntries: Int = 1, 511f0e2dc7SJiawei Lin nProbeEntries: Int = 1, 521f0e2dc7SJiawei Lin nReleaseEntries: Int = 1, 531f0e2dc7SJiawei Lin nMMIOEntries: Int = 1, 541f0e2dc7SJiawei Lin nMMIOs: Int = 1, 55fddcfe1fSwakafa blockBytes: Int = 64, 560d32f713Shappy-lx nMaxPrefetchEntry: Int = 1, 57d2945707SHuijin Li alwaysReleaseData: Boolean = false, 5831d5a9c4Ssfencevma isKeywordBitsOpt: Option[Boolean] = Some(true), 5931d5a9c4Ssfencevma enableDataEcc: Boolean = false, 60b23df8f4Ssfencevma enableTagEcc: Boolean = false 611f0e2dc7SJiawei Lin) extends L1CacheParameters { 621f0e2dc7SJiawei Lin // if sets * blockBytes > 4KB(page size), 631f0e2dc7SJiawei Lin // cache alias will happen, 641f0e2dc7SJiawei Lin // we need to avoid this by recoding additional bits in L2 cache 651f0e2dc7SJiawei Lin val setBytes = nSets * blockBytes 661f0e2dc7SJiawei Lin val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 671f0e2dc7SJiawei Lin 681f0e2dc7SJiawei Lin def tagCode: Code = Code.fromString(tagECC) 691f0e2dc7SJiawei Lin 701f0e2dc7SJiawei Lin def dataCode: Code = Code.fromString(dataECC) 711f0e2dc7SJiawei Lin} 721f0e2dc7SJiawei Lin 731f0e2dc7SJiawei Lin// Physical Address 741f0e2dc7SJiawei Lin// -------------------------------------- 751f0e2dc7SJiawei Lin// | Physical Tag | PIndex | Offset | 761f0e2dc7SJiawei Lin// -------------------------------------- 771f0e2dc7SJiawei Lin// | 781f0e2dc7SJiawei Lin// DCacheTagOffset 791f0e2dc7SJiawei Lin// 801f0e2dc7SJiawei Lin// Virtual Address 811f0e2dc7SJiawei Lin// -------------------------------------- 821f0e2dc7SJiawei Lin// | Above index | Set | Bank | Offset | 831f0e2dc7SJiawei Lin// -------------------------------------- 841f0e2dc7SJiawei Lin// | | | | 85ca18a0b4SWilliam Wang// | | | 0 861f0e2dc7SJiawei Lin// | | DCacheBankOffset 871f0e2dc7SJiawei Lin// | DCacheSetOffset 881f0e2dc7SJiawei Lin// DCacheAboveIndexOffset 891f0e2dc7SJiawei Lin 901f0e2dc7SJiawei Lin// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 911f0e2dc7SJiawei Lin 920d32f713Shappy-lxtrait HasDCacheParameters extends HasL1CacheParameters with HasL1PrefetchSourceParameter{ 931f0e2dc7SJiawei Lin val cacheParams = dcacheParameters 941f0e2dc7SJiawei Lin val cfg = cacheParams 951f0e2dc7SJiawei Lin 961f0e2dc7SJiawei Lin def encWordBits = cacheParams.dataCode.width(wordBits) 971f0e2dc7SJiawei Lin 981f0e2dc7SJiawei Lin def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 991f0e2dc7SJiawei Lin def eccBits = encWordBits - wordBits 1001f0e2dc7SJiawei Lin 101e19f7967SWilliam Wang def encTagBits = cacheParams.tagCode.width(tagBits) 102e19f7967SWilliam Wang def eccTagBits = encTagBits - tagBits 103e19f7967SWilliam Wang 1041f0e2dc7SJiawei Lin def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 1051f0e2dc7SJiawei Lin 1062db9ec44SLinJiawei def nSourceType = 10 1071f0e2dc7SJiawei Lin def sourceTypeWidth = log2Up(nSourceType) 10800575ac8SWilliam Wang // non-prefetch source < 3 1091f0e2dc7SJiawei Lin def LOAD_SOURCE = 0 1101f0e2dc7SJiawei Lin def STORE_SOURCE = 1 1111f0e2dc7SJiawei Lin def AMO_SOURCE = 2 11200575ac8SWilliam Wang // prefetch source >= 3 11300575ac8SWilliam Wang def DCACHE_PREFETCH_SOURCE = 3 1142db9ec44SLinJiawei def SOFT_PREFETCH = 4 1150d32f713Shappy-lx // the following sources are only used inside SMS 1162db9ec44SLinJiawei def HW_PREFETCH_AGT = 5 1172db9ec44SLinJiawei def HW_PREFETCH_PHT_CUR = 6 1182db9ec44SLinJiawei def HW_PREFETCH_PHT_INC = 7 1192db9ec44SLinJiawei def HW_PREFETCH_PHT_DEC = 8 1202db9ec44SLinJiawei def HW_PREFETCH_BOP = 9 1212db9ec44SLinJiawei def HW_PREFETCH_STRIDE = 10 1221f0e2dc7SJiawei Lin 1230d32f713Shappy-lx def BLOOM_FILTER_ENTRY_NUM = 4096 1240d32f713Shappy-lx 1251f0e2dc7SJiawei Lin // each source use a id to distinguish its multiple reqs 1268b1251e1SWilliam Wang def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize) 1271f0e2dc7SJiawei Lin 128300ded30SWilliam Wang require(isPow2(cfg.nMissEntries)) // TODO 129300ded30SWilliam Wang // require(isPow2(cfg.nReleaseEntries)) 130300ded30SWilliam Wang require(cfg.nMissEntries < cfg.nReleaseEntries) 131300ded30SWilliam Wang val nEntries = cfg.nMissEntries + cfg.nReleaseEntries 132300ded30SWilliam Wang val releaseIdBase = cfg.nMissEntries 13331d5a9c4Ssfencevma val EnableDataEcc = cacheParams.enableDataEcc 13431d5a9c4Ssfencevma val EnableTagEcc = cacheParams.enableTagEcc 135ad3ba452Szhanglinjuan 1361f0e2dc7SJiawei Lin // banked dcache support 1373eeae490SMaxpicca-Li val DCacheSetDiv = 1 1381f0e2dc7SJiawei Lin val DCacheSets = cacheParams.nSets 1391f0e2dc7SJiawei Lin val DCacheWays = cacheParams.nWays 140af22dd7cSWilliam Wang val DCacheBanks = 8 // hardcoded 141a9c1b353SMaxpicca-Li val DCacheDupNum = 16 142af22dd7cSWilliam Wang val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded 143ca18a0b4SWilliam Wang val DCacheWordBits = 64 // hardcoded 144ca18a0b4SWilliam Wang val DCacheWordBytes = DCacheWordBits / 8 1450d32f713Shappy-lx val MaxPrefetchEntry = cacheParams.nMaxPrefetchEntry 146cdbff57cSHaoyuan Feng val DCacheVWordBytes = VLEN / 8 147af22dd7cSWilliam Wang require(DCacheSRAMRowBits == 64) 1481f0e2dc7SJiawei Lin 1493eeae490SMaxpicca-Li val DCacheSetDivBits = log2Ceil(DCacheSetDiv) 1503eeae490SMaxpicca-Li val DCacheSetBits = log2Ceil(DCacheSets) 151ca18a0b4SWilliam Wang val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 152ca18a0b4SWilliam Wang val DCacheSizeBytes = DCacheSizeBits / 8 153ca18a0b4SWilliam Wang val DCacheSizeWords = DCacheSizeBits / 64 // TODO 1541f0e2dc7SJiawei Lin 1551f0e2dc7SJiawei Lin val DCacheSameVPAddrLength = 12 1561f0e2dc7SJiawei Lin 1571f0e2dc7SJiawei Lin val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 158ca18a0b4SWilliam Wang val DCacheWordOffset = log2Up(DCacheWordBytes) 159cdbff57cSHaoyuan Feng val DCacheVWordOffset = log2Up(DCacheVWordBytes) 160ca18a0b4SWilliam Wang 161ca18a0b4SWilliam Wang val DCacheBankOffset = log2Up(DCacheSRAMRowBytes) 1621f0e2dc7SJiawei Lin val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 1631f0e2dc7SJiawei Lin val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 1641f0e2dc7SJiawei Lin val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 165ca18a0b4SWilliam Wang val DCacheLineOffset = DCacheSetOffset 1661f0e2dc7SJiawei Lin 16737225120Ssfencevma // uncache 168be867ebcSAnzooooo val uncacheIdxBits = log2Up(VirtualLoadQueueMaxStoreQueueSize + 1) 169b52348aeSWilliam Wang // hardware prefetch parameters 170b52348aeSWilliam Wang // high confidence hardware prefetch port 171b52348aeSWilliam Wang val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default 172b52348aeSWilliam Wang val IgnorePrefetchConfidence = false 17337225120Ssfencevma 1746c7e5e86Szhanglinjuan // parameters about duplicating regs to solve fanout 1756c7e5e86Szhanglinjuan // In Main Pipe: 1766c7e5e86Szhanglinjuan // tag_write.ready -> data_write.valid * 8 banks 1776c7e5e86Szhanglinjuan // tag_write.ready -> meta_write.valid 1786c7e5e86Szhanglinjuan // tag_write.ready -> tag_write.valid 1796c7e5e86Szhanglinjuan // tag_write.ready -> err_write.valid 1806c7e5e86Szhanglinjuan // tag_write.ready -> wb.valid 1816c7e5e86Szhanglinjuan val nDupTagWriteReady = DCacheBanks + 4 1826c7e5e86Szhanglinjuan // In Main Pipe: 1836c7e5e86Szhanglinjuan // data_write.ready -> data_write.valid * 8 banks 1846c7e5e86Szhanglinjuan // data_write.ready -> meta_write.valid 1856c7e5e86Szhanglinjuan // data_write.ready -> tag_write.valid 1866c7e5e86Szhanglinjuan // data_write.ready -> err_write.valid 1876c7e5e86Szhanglinjuan // data_write.ready -> wb.valid 1886c7e5e86Szhanglinjuan val nDupDataWriteReady = DCacheBanks + 4 1896c7e5e86Szhanglinjuan val nDupWbReady = DCacheBanks + 4 1906c7e5e86Szhanglinjuan val nDupStatus = nDupTagWriteReady + nDupDataWriteReady 1916c7e5e86Szhanglinjuan val dataWritePort = 0 1926c7e5e86Szhanglinjuan val metaWritePort = DCacheBanks 1936c7e5e86Szhanglinjuan val tagWritePort = metaWritePort + 1 1946c7e5e86Szhanglinjuan val errWritePort = tagWritePort + 1 1956c7e5e86Szhanglinjuan val wbPort = errWritePort + 1 1966c7e5e86Szhanglinjuan 1973eeae490SMaxpicca-Li def set_to_dcache_div(set: UInt) = { 1983eeae490SMaxpicca-Li require(set.getWidth >= DCacheSetBits) 1993eeae490SMaxpicca-Li if (DCacheSetDivBits == 0) 0.U else set(DCacheSetDivBits-1, 0) 2003eeae490SMaxpicca-Li } 2013eeae490SMaxpicca-Li 2023eeae490SMaxpicca-Li def set_to_dcache_div_set(set: UInt) = { 2033eeae490SMaxpicca-Li require(set.getWidth >= DCacheSetBits) 2043eeae490SMaxpicca-Li set(DCacheSetBits - 1, DCacheSetDivBits) 2053eeae490SMaxpicca-Li } 2063eeae490SMaxpicca-Li 2071f0e2dc7SJiawei Lin def addr_to_dcache_bank(addr: UInt) = { 2081f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheSetOffset) 2091f0e2dc7SJiawei Lin addr(DCacheSetOffset-1, DCacheBankOffset) 2101f0e2dc7SJiawei Lin } 2111f0e2dc7SJiawei Lin 2123eeae490SMaxpicca-Li def addr_to_dcache_div(addr: UInt) = { 2133eeae490SMaxpicca-Li require(addr.getWidth >= DCacheAboveIndexOffset) 2143eeae490SMaxpicca-Li if(DCacheSetDivBits == 0) 0.U else addr(DCacheSetOffset + DCacheSetDivBits - 1, DCacheSetOffset) 2153eeae490SMaxpicca-Li } 2163eeae490SMaxpicca-Li 2173eeae490SMaxpicca-Li def addr_to_dcache_div_set(addr: UInt) = { 2183eeae490SMaxpicca-Li require(addr.getWidth >= DCacheAboveIndexOffset) 2193eeae490SMaxpicca-Li addr(DCacheAboveIndexOffset - 1, DCacheSetOffset + DCacheSetDivBits) 2203eeae490SMaxpicca-Li } 2213eeae490SMaxpicca-Li 2221f0e2dc7SJiawei Lin def addr_to_dcache_set(addr: UInt) = { 2231f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheAboveIndexOffset) 2241f0e2dc7SJiawei Lin addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 2251f0e2dc7SJiawei Lin } 2261f0e2dc7SJiawei Lin 2271f0e2dc7SJiawei Lin def get_data_of_bank(bank: Int, data: UInt) = { 2281f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 2291f0e2dc7SJiawei Lin data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 2301f0e2dc7SJiawei Lin } 2311f0e2dc7SJiawei Lin 2321f0e2dc7SJiawei Lin def get_mask_of_bank(bank: Int, data: UInt) = { 2331f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 2341f0e2dc7SJiawei Lin data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 2351f0e2dc7SJiawei Lin } 2361f0e2dc7SJiawei Lin 237401876faSYanqin Li def get_alias(vaddr: UInt): UInt ={ 23820e09ab1Shappy-lx // require(blockOffBits + idxBits > pgIdxBits) 239401876faSYanqin Li if(blockOffBits + idxBits > pgIdxBits){ 240401876faSYanqin Li vaddr(blockOffBits + idxBits - 1, pgIdxBits) 241401876faSYanqin Li }else{ 242401876faSYanqin Li 0.U 243401876faSYanqin Li } 244401876faSYanqin Li } 2451f0e2dc7SJiawei Lin 2460d32f713Shappy-lx def is_alias_match(vaddr0: UInt, vaddr1: UInt): Bool = { 2470d32f713Shappy-lx require(vaddr0.getWidth == VAddrBits && vaddr1.getWidth == VAddrBits) 2480d32f713Shappy-lx if(blockOffBits + idxBits > pgIdxBits) { 2490d32f713Shappy-lx vaddr0(blockOffBits + idxBits - 1, pgIdxBits) === vaddr1(blockOffBits + idxBits - 1, pgIdxBits) 2500d32f713Shappy-lx }else { 2510d32f713Shappy-lx // no alias problem 2520d32f713Shappy-lx true.B 2530d32f713Shappy-lx } 2540d32f713Shappy-lx } 2550d32f713Shappy-lx 25604665835SMaxpicca-Li def get_direct_map_way(addr:UInt): UInt = { 25704665835SMaxpicca-Li addr(DCacheAboveIndexOffset + log2Up(DCacheWays) - 1, DCacheAboveIndexOffset) 25804665835SMaxpicca-Li } 25904665835SMaxpicca-Li 260578c21a4Szhanglinjuan def arbiter[T <: Bundle]( 261578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 262578c21a4Szhanglinjuan out: DecoupledIO[T], 263578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 264578c21a4Szhanglinjuan val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 265578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 266578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 267578c21a4Szhanglinjuan a <> req 268578c21a4Szhanglinjuan } 269578c21a4Szhanglinjuan out <> arb.io.out 270578c21a4Szhanglinjuan } 271578c21a4Szhanglinjuan 272b36dd5fdSWilliam Wang def arbiter_with_pipereg[T <: Bundle]( 273b36dd5fdSWilliam Wang in: Seq[DecoupledIO[T]], 274b36dd5fdSWilliam Wang out: DecoupledIO[T], 275b36dd5fdSWilliam Wang name: Option[String] = None): Unit = { 276b36dd5fdSWilliam Wang val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 277b36dd5fdSWilliam Wang if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 278b36dd5fdSWilliam Wang for ((a, req) <- arb.io.in.zip(in)) { 279b36dd5fdSWilliam Wang a <> req 280b36dd5fdSWilliam Wang } 281b36dd5fdSWilliam Wang AddPipelineReg(arb.io.out, out, false.B) 282b36dd5fdSWilliam Wang } 283b36dd5fdSWilliam Wang 284b11ec622Slixin def arbiter_with_pipereg_N_dup[T <: Bundle]( 285b11ec622Slixin in: Seq[DecoupledIO[T]], 286b11ec622Slixin out: DecoupledIO[T], 287c3a5fe5fShappy-lx dups: Seq[DecoupledIO[T]], 288b11ec622Slixin name: Option[String] = None): Unit = { 289b11ec622Slixin val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 290b11ec622Slixin if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 291b11ec622Slixin for ((a, req) <- arb.io.in.zip(in)) { 292b11ec622Slixin a <> req 293b11ec622Slixin } 294b11ec622Slixin for (dup <- dups) { 295c3a5fe5fShappy-lx AddPipelineReg(arb.io.out, dup, false.B) 296b11ec622Slixin } 297c3a5fe5fShappy-lx AddPipelineReg(arb.io.out, out, false.B) 298b11ec622Slixin } 299b11ec622Slixin 300578c21a4Szhanglinjuan def rrArbiter[T <: Bundle]( 301578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 302578c21a4Szhanglinjuan out: DecoupledIO[T], 303578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 304578c21a4Szhanglinjuan val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size)) 305578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 306578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 307578c21a4Szhanglinjuan a <> req 308578c21a4Szhanglinjuan } 309578c21a4Szhanglinjuan out <> arb.io.out 310578c21a4Szhanglinjuan } 311578c21a4Szhanglinjuan 3127cd72b71Szhanglinjuan def fastArbiter[T <: Bundle]( 3137cd72b71Szhanglinjuan in: Seq[DecoupledIO[T]], 3147cd72b71Szhanglinjuan out: DecoupledIO[T], 3157cd72b71Szhanglinjuan name: Option[String] = None): Unit = { 3167cd72b71Szhanglinjuan val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size)) 3177cd72b71Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 3187cd72b71Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 3197cd72b71Szhanglinjuan a <> req 3207cd72b71Szhanglinjuan } 3217cd72b71Szhanglinjuan out <> arb.io.out 3227cd72b71Szhanglinjuan } 3237cd72b71Szhanglinjuan 324ad3ba452Szhanglinjuan val numReplaceRespPorts = 2 325ad3ba452Szhanglinjuan 3261f0e2dc7SJiawei Lin require(isPow2(nSets), s"nSets($nSets) must be pow2") 3271f0e2dc7SJiawei Lin require(isPow2(nWays), s"nWays($nWays) must be pow2") 3281f0e2dc7SJiawei Lin require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 3291f0e2dc7SJiawei Lin require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 3301f0e2dc7SJiawei Lin} 3311f0e2dc7SJiawei Lin 3321f0e2dc7SJiawei Linabstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 3331f0e2dc7SJiawei Lin with HasDCacheParameters 3341f0e2dc7SJiawei Lin 3351f0e2dc7SJiawei Linabstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 3361f0e2dc7SJiawei Lin with HasDCacheParameters 3371f0e2dc7SJiawei Lin 3381f0e2dc7SJiawei Linclass ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 3391f0e2dc7SJiawei Lin val set = UInt(log2Up(nSets).W) 3401f0e2dc7SJiawei Lin val way = UInt(log2Up(nWays).W) 3411f0e2dc7SJiawei Lin} 3421f0e2dc7SJiawei Lin 343ad3ba452Szhanglinjuanclass ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle { 344ad3ba452Szhanglinjuan val set = ValidIO(UInt(log2Up(nSets).W)) 34504665835SMaxpicca-Li val dmWay = Output(UInt(log2Up(nWays).W)) 346ad3ba452Szhanglinjuan val way = Input(UInt(log2Up(nWays).W)) 347ad3ba452Szhanglinjuan} 348ad3ba452Szhanglinjuan 3493af6aa6eSWilliam Wangclass DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle 3503af6aa6eSWilliam Wang{ 3513af6aa6eSWilliam Wang val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store 3520d32f713Shappy-lx val prefetch = UInt(L1PfSourceBits.W) // cache line is first required by prefetch 3533af6aa6eSWilliam Wang val access = Bool() // cache line has been accessed by load / store 3543af6aa6eSWilliam Wang 3553af6aa6eSWilliam Wang // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline 3563af6aa6eSWilliam Wang} 3573af6aa6eSWilliam Wang 3581f0e2dc7SJiawei Lin// memory request in word granularity(load, mmio, lr/sc, atomics) 3591f0e2dc7SJiawei Linclass DCacheWordReq(implicit p: Parameters) extends DCacheBundle 3601f0e2dc7SJiawei Lin{ 3611f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 362d2b20d1aSTang Haojin val vaddr = UInt(VAddrBits.W) 363cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 364cdbff57cSHaoyuan Feng val mask = UInt((VLEN/8).W) 3651f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 3663f4ec46fSCODE-JTZ val instrtype = UInt(sourceTypeWidth.W) 367da3bf434SMaxpicca-Li val isFirstIssue = Bool() 36804665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 369d2945707SHuijin Li val lqIdx = new LqPtr 370da3bf434SMaxpicca-Li 371da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 3721f0e2dc7SJiawei Lin def dump() = { 373d2b20d1aSTang Haojin XSDebug("DCacheWordReq: cmd: %x vaddr: %x data: %x mask: %x id: %d\n", 374d2b20d1aSTang Haojin cmd, vaddr, data, mask, id) 3751f0e2dc7SJiawei Lin } 3761f0e2dc7SJiawei Lin} 3771f0e2dc7SJiawei Lin 3781f0e2dc7SJiawei Lin// memory request in word granularity(store) 3791f0e2dc7SJiawei Linclass DCacheLineReq(implicit p: Parameters) extends DCacheBundle 3801f0e2dc7SJiawei Lin{ 3811f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 3821f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 3831f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 3841f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 3851f0e2dc7SJiawei Lin val mask = UInt(cfg.blockBytes.W) 3861f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 3871f0e2dc7SJiawei Lin def dump() = { 3881f0e2dc7SJiawei Lin XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 3891f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 3901f0e2dc7SJiawei Lin } 391ad3ba452Szhanglinjuan def idx: UInt = get_idx(vaddr) 3921f0e2dc7SJiawei Lin} 3931f0e2dc7SJiawei Lin 3941f0e2dc7SJiawei Linclass DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 395d2b20d1aSTang Haojin val addr = UInt(PAddrBits.W) 396ca18a0b4SWilliam Wang val wline = Bool() 3971f0e2dc7SJiawei Lin} 3981f0e2dc7SJiawei Lin 3990d32f713Shappy-lxclass DCacheWordReqWithVaddrAndPfFlag(implicit p: Parameters) extends DCacheWordReqWithVaddr { 4000d32f713Shappy-lx val prefetch = Bool() 401315e1323Sgood-circle val vecValid = Bool() 4020d32f713Shappy-lx 4030d32f713Shappy-lx def toDCacheWordReqWithVaddr() = { 4040d32f713Shappy-lx val res = Wire(new DCacheWordReqWithVaddr) 4050d32f713Shappy-lx res.vaddr := vaddr 4060d32f713Shappy-lx res.wline := wline 4070d32f713Shappy-lx res.cmd := cmd 4080d32f713Shappy-lx res.addr := addr 4090d32f713Shappy-lx res.data := data 4100d32f713Shappy-lx res.mask := mask 4110d32f713Shappy-lx res.id := id 4120d32f713Shappy-lx res.instrtype := instrtype 4130d32f713Shappy-lx res.replayCarry := replayCarry 4140d32f713Shappy-lx res.isFirstIssue := isFirstIssue 4150d32f713Shappy-lx res.debug_robIdx := debug_robIdx 4160d32f713Shappy-lx 4170d32f713Shappy-lx res 4180d32f713Shappy-lx } 4190d32f713Shappy-lx} 4200d32f713Shappy-lx 4216786cfb7SWilliam Wangclass BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle 4221f0e2dc7SJiawei Lin{ 423144422dcSMaxpicca-Li // read in s2 424cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 425144422dcSMaxpicca-Li // select in s3 426cdbff57cSHaoyuan Feng val data_delayed = UInt(VLEN.W) 427026615fcSWilliam Wang val id = UInt(reqIdWidth.W) 4281f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 4291f0e2dc7SJiawei Lin val miss = Bool() 430026615fcSWilliam Wang // cache miss, and failed to enter the missqueue, replay from RS is needed 4311f0e2dc7SJiawei Lin val replay = Bool() 43204665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 433026615fcSWilliam Wang // data has been corrupted 434a469aa4bSWilliam Wang val tag_error = Bool() // tag error 435144422dcSMaxpicca-Li val mshr_id = UInt(log2Up(cfg.nMissEntries).W) 436144422dcSMaxpicca-Li 437da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 4381f0e2dc7SJiawei Lin def dump() = { 4391f0e2dc7SJiawei Lin XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 4401f0e2dc7SJiawei Lin data, id, miss, replay) 4411f0e2dc7SJiawei Lin } 4421f0e2dc7SJiawei Lin} 4431f0e2dc7SJiawei Lin 4446786cfb7SWilliam Wangclass DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp 4456786cfb7SWilliam Wang{ 4460d32f713Shappy-lx val meta_prefetch = UInt(L1PfSourceBits.W) 4474b6d4d13SWilliam Wang val meta_access = Bool() 448b9e121dfShappy-lx // s2 449b9e121dfShappy-lx val handled = Bool() 4500d32f713Shappy-lx val real_miss = Bool() 451b9e121dfShappy-lx // s3: 1 cycle after data resp 4526786cfb7SWilliam Wang val error_delayed = Bool() // all kinds of errors, include tag error 453b9e121dfShappy-lx val replacementUpdated = Bool() 4546786cfb7SWilliam Wang} 4556786cfb7SWilliam Wang 456a19ae480SWilliam Wangclass BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp 457a19ae480SWilliam Wang{ 458a19ae480SWilliam Wang val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W)) 459a19ae480SWilliam Wang val bank_oh = UInt(DCacheBanks.W) 460a19ae480SWilliam Wang} 461a19ae480SWilliam Wang 4626786cfb7SWilliam Wangclass DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp 4636786cfb7SWilliam Wang{ 4646786cfb7SWilliam Wang val error = Bool() // all kinds of errors, include tag error 46558cb1b0bSzhanglinjuan val nderr = Bool() 4666786cfb7SWilliam Wang} 4676786cfb7SWilliam Wang 4681f0e2dc7SJiawei Linclass DCacheLineResp(implicit p: Parameters) extends DCacheBundle 4691f0e2dc7SJiawei Lin{ 4701f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 4711f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 4721f0e2dc7SJiawei Lin val miss = Bool() 4731f0e2dc7SJiawei Lin // cache req nacked, replay it later 4741f0e2dc7SJiawei Lin val replay = Bool() 4751f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 4761f0e2dc7SJiawei Lin def dump() = { 4771f0e2dc7SJiawei Lin XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 4781f0e2dc7SJiawei Lin data, id, miss, replay) 4791f0e2dc7SJiawei Lin } 4801f0e2dc7SJiawei Lin} 4811f0e2dc7SJiawei Lin 4821f0e2dc7SJiawei Linclass Refill(implicit p: Parameters) extends DCacheBundle 4831f0e2dc7SJiawei Lin{ 4841f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 4851f0e2dc7SJiawei Lin val data = UInt(l1BusDataWidth.W) 486026615fcSWilliam Wang val error = Bool() // refilled data has been corrupted 4871f0e2dc7SJiawei Lin // for debug usage 4881f0e2dc7SJiawei Lin val data_raw = UInt((cfg.blockBytes * 8).W) 4891f0e2dc7SJiawei Lin val hasdata = Bool() 4901f0e2dc7SJiawei Lin val refill_done = Bool() 4911f0e2dc7SJiawei Lin def dump() = { 4921f0e2dc7SJiawei Lin XSDebug("Refill: addr: %x data: %x\n", addr, data) 4931f0e2dc7SJiawei Lin } 494683c1411Shappy-lx val id = UInt(log2Up(cfg.nMissEntries).W) 4951f0e2dc7SJiawei Lin} 4961f0e2dc7SJiawei Lin 49767682d05SWilliam Wangclass Release(implicit p: Parameters) extends DCacheBundle 49867682d05SWilliam Wang{ 49967682d05SWilliam Wang val paddr = UInt(PAddrBits.W) 50067682d05SWilliam Wang def dump() = { 50167682d05SWilliam Wang XSDebug("Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset)) 50267682d05SWilliam Wang } 50367682d05SWilliam Wang} 50467682d05SWilliam Wang 5051f0e2dc7SJiawei Linclass DCacheWordIO(implicit p: Parameters) extends DCacheBundle 5061f0e2dc7SJiawei Lin{ 5071f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReq) 508144422dcSMaxpicca-Li val resp = Flipped(DecoupledIO(new DCacheWordResp)) 5091f0e2dc7SJiawei Lin} 5101f0e2dc7SJiawei Lin 51137225120Ssfencevma 51237225120Ssfencevmaclass UncacheWordReq(implicit p: Parameters) extends DCacheBundle 51337225120Ssfencevma{ 51437225120Ssfencevma val cmd = UInt(M_SZ.W) 51537225120Ssfencevma val addr = UInt(PAddrBits.W) 516cdbff57cSHaoyuan Feng val data = UInt(XLEN.W) 517cdbff57cSHaoyuan Feng val mask = UInt((XLEN/8).W) 51837225120Ssfencevma val id = UInt(uncacheIdxBits.W) 51937225120Ssfencevma val instrtype = UInt(sourceTypeWidth.W) 52037225120Ssfencevma val atomic = Bool() 521da3bf434SMaxpicca-Li val isFirstIssue = Bool() 52204665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 52337225120Ssfencevma 52437225120Ssfencevma def dump() = { 52537225120Ssfencevma XSDebug("UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 52637225120Ssfencevma cmd, addr, data, mask, id) 52737225120Ssfencevma } 52837225120Ssfencevma} 52937225120Ssfencevma 530cdbff57cSHaoyuan Fengclass UncacheWordResp(implicit p: Parameters) extends DCacheBundle 53137225120Ssfencevma{ 532cdbff57cSHaoyuan Feng val data = UInt(XLEN.W) 533cdbff57cSHaoyuan Feng val data_delayed = UInt(XLEN.W) 53437225120Ssfencevma val id = UInt(uncacheIdxBits.W) 53537225120Ssfencevma val miss = Bool() 53637225120Ssfencevma val replay = Bool() 53737225120Ssfencevma val tag_error = Bool() 53837225120Ssfencevma val error = Bool() 53958cb1b0bSzhanglinjuan val nderr = Bool() 54004665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 541144422dcSMaxpicca-Li val mshr_id = UInt(log2Up(cfg.nMissEntries).W) // FIXME: why uncacheWordResp is not merged to baseDcacheResp 54237225120Ssfencevma 543da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 54437225120Ssfencevma def dump() = { 54537225120Ssfencevma XSDebug("UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n", 54637225120Ssfencevma data, id, miss, replay, tag_error, error) 54737225120Ssfencevma } 54837225120Ssfencevma} 54937225120Ssfencevma 5506786cfb7SWilliam Wangclass UncacheWordIO(implicit p: Parameters) extends DCacheBundle 5516786cfb7SWilliam Wang{ 55237225120Ssfencevma val req = DecoupledIO(new UncacheWordReq) 553cdbff57cSHaoyuan Feng val resp = Flipped(DecoupledIO(new UncacheWordResp)) 5546786cfb7SWilliam Wang} 5556786cfb7SWilliam Wang 556ffd3154dSCharlieLiuclass MainPipeResp(implicit p: Parameters) extends DCacheBundle { 557ffd3154dSCharlieLiu //distinguish amo 558ffd3154dSCharlieLiu val source = UInt(sourceTypeWidth.W) 55962cb71fbShappy-lx val data = UInt(DataBits.W) 56062cb71fbShappy-lx val miss = Bool() 56162cb71fbShappy-lx val miss_id = UInt(log2Up(cfg.nMissEntries).W) 56262cb71fbShappy-lx val replay = Bool() 56362cb71fbShappy-lx val error = Bool() 56462cb71fbShappy-lx 56562cb71fbShappy-lx val ack_miss_queue = Bool() 56662cb71fbShappy-lx 56762cb71fbShappy-lx val id = UInt(reqIdWidth.W) 568ffd3154dSCharlieLiu 569ffd3154dSCharlieLiu def isAMO: Bool = source === AMO_SOURCE.U 570ffd3154dSCharlieLiu def isStore: Bool = source === STORE_SOURCE.U 57162cb71fbShappy-lx} 57262cb71fbShappy-lx 5736786cfb7SWilliam Wangclass AtomicWordIO(implicit p: Parameters) extends DCacheBundle 5741f0e2dc7SJiawei Lin{ 57562cb71fbShappy-lx val req = DecoupledIO(new MainPipeReq) 576ffd3154dSCharlieLiu val resp = Flipped(ValidIO(new MainPipeResp)) 57762cb71fbShappy-lx val block_lr = Input(Bool()) 5781f0e2dc7SJiawei Lin} 5791f0e2dc7SJiawei Lin 5801f0e2dc7SJiawei Lin// used by load unit 5811f0e2dc7SJiawei Linclass DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 5821f0e2dc7SJiawei Lin{ 5831f0e2dc7SJiawei Lin // kill previous cycle's req 5841f0e2dc7SJiawei Lin val s1_kill = Output(Bool()) 585b6982e83SLemover val s2_kill = Output(Bool()) 58604665835SMaxpicca-Li val s0_pc = Output(UInt(VAddrBits.W)) 58704665835SMaxpicca-Li val s1_pc = Output(UInt(VAddrBits.W)) 5882db9ec44SLinJiawei val s2_pc = Output(UInt(VAddrBits.W)) 589b9e121dfShappy-lx // cycle 0: load has updated replacement before 590b9e121dfShappy-lx val replacementUpdated = Output(Bool()) 59100e6f2e2Sweiding liu val is128Req = Bool() 5920d32f713Shappy-lx // cycle 0: prefetch source bits 5930d32f713Shappy-lx val pf_source = Output(UInt(L1PfSourceBits.W)) 594d2945707SHuijin Li // cycle0: load microop 595d2945707SHuijin Li // val s0_uop = Output(new MicroOp) 5961f0e2dc7SJiawei Lin // cycle 0: virtual address: req.addr 5971f0e2dc7SJiawei Lin // cycle 1: physical address: s1_paddr 59803efd994Shappy-lx val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr 59903efd994Shappy-lx val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr 6001f0e2dc7SJiawei Lin val s1_disable_fast_wakeup = Input(Bool()) 60103efd994Shappy-lx // cycle 2: hit signal 60203efd994Shappy-lx val s2_hit = Input(Bool()) // hit signal for lsu, 603da3bf434SMaxpicca-Li val s2_first_hit = Input(Bool()) 604594c5198Ssfencevma val s2_bank_conflict = Input(Bool()) 60514a67055Ssfencevma val s2_wpu_pred_fail = Input(Bool()) 60614a67055Ssfencevma val s2_mq_nack = Input(Bool()) 60703efd994Shappy-lx 60803efd994Shappy-lx // debug 60903efd994Shappy-lx val debug_s1_hit_way = Input(UInt(nWays.W)) 61004665835SMaxpicca-Li val debug_s2_pred_way_num = Input(UInt(XLEN.W)) 61104665835SMaxpicca-Li val debug_s2_dm_way_num = Input(UInt(XLEN.W)) 61204665835SMaxpicca-Li val debug_s2_real_way_num = Input(UInt(XLEN.W)) 6131f0e2dc7SJiawei Lin} 6141f0e2dc7SJiawei Lin 6151f0e2dc7SJiawei Linclass DCacheLineIO(implicit p: Parameters) extends DCacheBundle 6161f0e2dc7SJiawei Lin{ 6171f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheLineReq) 6181f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheLineResp)) 6191f0e2dc7SJiawei Lin} 6201f0e2dc7SJiawei Lin 621ad3ba452Szhanglinjuanclass DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle { 622ad3ba452Szhanglinjuan // sbuffer will directly send request to dcache main pipe 623ad3ba452Szhanglinjuan val req = Flipped(Decoupled(new DCacheLineReq)) 624ad3ba452Szhanglinjuan 625ad3ba452Szhanglinjuan val main_pipe_hit_resp = ValidIO(new DCacheLineResp) 626ffd3154dSCharlieLiu //val refill_hit_resp = ValidIO(new DCacheLineResp) 627ad3ba452Szhanglinjuan 628ad3ba452Szhanglinjuan val replay_resp = ValidIO(new DCacheLineResp) 629ad3ba452Szhanglinjuan 630ffd3154dSCharlieLiu //def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp) 631ffd3154dSCharlieLiu def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp) 632ad3ba452Szhanglinjuan} 633ad3ba452Szhanglinjuan 634683c1411Shappy-lx// forward tilelink channel D's data to ldu 635683c1411Shappy-lxclass DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle { 636683c1411Shappy-lx val valid = Bool() 637683c1411Shappy-lx val data = UInt(l1BusDataWidth.W) 638683c1411Shappy-lx val mshrid = UInt(log2Up(cfg.nMissEntries).W) 639683c1411Shappy-lx val last = Bool() 640683c1411Shappy-lx 641683c1411Shappy-lx def apply(req_valid : Bool, req_data : UInt, req_mshrid : UInt, req_last : Bool) = { 642683c1411Shappy-lx valid := req_valid 643683c1411Shappy-lx data := req_data 644683c1411Shappy-lx mshrid := req_mshrid 645683c1411Shappy-lx last := req_last 646683c1411Shappy-lx } 647683c1411Shappy-lx 648683c1411Shappy-lx def dontCare() = { 649683c1411Shappy-lx valid := false.B 650683c1411Shappy-lx data := DontCare 651683c1411Shappy-lx mshrid := DontCare 652683c1411Shappy-lx last := DontCare 653683c1411Shappy-lx } 654683c1411Shappy-lx 655683c1411Shappy-lx def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = { 656683c1411Shappy-lx val all_match = req_valid && valid && 657683c1411Shappy-lx req_mshr_id === mshrid && 658683c1411Shappy-lx req_paddr(log2Up(refillBytes)) === last 659683c1411Shappy-lx val forward_D = RegInit(false.B) 660cdbff57cSHaoyuan Feng val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 661683c1411Shappy-lx 662683c1411Shappy-lx val block_idx = req_paddr(log2Up(refillBytes) - 1, 3) 663683c1411Shappy-lx val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W))) 664683c1411Shappy-lx (0 until l1BusDataWidth / 64).map(i => { 665683c1411Shappy-lx block_data(i) := data(64 * i + 63, 64 * i) 666683c1411Shappy-lx }) 667cdbff57cSHaoyuan Feng val selected_data = Wire(UInt(128.W)) 668cdbff57cSHaoyuan Feng selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 669683c1411Shappy-lx 670683c1411Shappy-lx forward_D := all_match 671cdbff57cSHaoyuan Feng for (i <- 0 until VLEN/8) { 6725adc4829SYanqin Li when (all_match) { 673683c1411Shappy-lx forwardData(i) := selected_data(8 * i + 7, 8 * i) 674683c1411Shappy-lx } 6755adc4829SYanqin Li } 676683c1411Shappy-lx 677683c1411Shappy-lx (forward_D, forwardData) 678683c1411Shappy-lx } 679683c1411Shappy-lx} 680683c1411Shappy-lx 681683c1411Shappy-lxclass MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle { 682683c1411Shappy-lx val inflight = Bool() 683683c1411Shappy-lx val paddr = UInt(PAddrBits.W) 6849ebbb510Shappy-lx val raw_data = Vec(blockRows, UInt(rowBits.W)) 685683c1411Shappy-lx val firstbeat_valid = Bool() 686683c1411Shappy-lx val lastbeat_valid = Bool() 687683c1411Shappy-lx 688683c1411Shappy-lx def apply(mshr_valid : Bool, mshr_paddr : UInt, mshr_rawdata : Vec[UInt], mshr_first_valid : Bool, mshr_last_valid : Bool) = { 689683c1411Shappy-lx inflight := mshr_valid 690683c1411Shappy-lx paddr := mshr_paddr 691683c1411Shappy-lx raw_data := mshr_rawdata 692683c1411Shappy-lx firstbeat_valid := mshr_first_valid 693683c1411Shappy-lx lastbeat_valid := mshr_last_valid 694683c1411Shappy-lx } 695683c1411Shappy-lx 696683c1411Shappy-lx // check if we can forward from mshr or D channel 697683c1411Shappy-lx def check(req_valid : Bool, req_paddr : UInt) = { 6985adc4829SYanqin Li RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits)) // TODO: clock gate(1-bit) 699683c1411Shappy-lx } 700683c1411Shappy-lx 701683c1411Shappy-lx def forward(req_valid : Bool, req_paddr : UInt) = { 702683c1411Shappy-lx val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) || 703683c1411Shappy-lx (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid) 704683c1411Shappy-lx 705683c1411Shappy-lx val forward_mshr = RegInit(false.B) 706cdbff57cSHaoyuan Feng val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 707683c1411Shappy-lx 7089ebbb510Shappy-lx val block_idx = req_paddr(log2Up(refillBytes), 3) 7099ebbb510Shappy-lx val block_data = raw_data 7109ebbb510Shappy-lx 711cdbff57cSHaoyuan Feng val selected_data = Wire(UInt(128.W)) 712cdbff57cSHaoyuan Feng selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 713683c1411Shappy-lx 714683c1411Shappy-lx forward_mshr := all_match 715cdbff57cSHaoyuan Feng for (i <- 0 until VLEN/8) { 716683c1411Shappy-lx forwardData(i) := selected_data(8 * i + 7, 8 * i) 717683c1411Shappy-lx } 718683c1411Shappy-lx 719683c1411Shappy-lx (forward_mshr, forwardData) 720683c1411Shappy-lx } 721683c1411Shappy-lx} 722683c1411Shappy-lx 723683c1411Shappy-lx// forward mshr's data to ldu 724683c1411Shappy-lxclass LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle { 725683c1411Shappy-lx // req 726683c1411Shappy-lx val valid = Input(Bool()) 727683c1411Shappy-lx val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W)) 728683c1411Shappy-lx val paddr = Input(UInt(PAddrBits.W)) 729683c1411Shappy-lx // resp 730683c1411Shappy-lx val forward_mshr = Output(Bool()) 731cdbff57cSHaoyuan Feng val forwardData = Output(Vec(VLEN/8, UInt(8.W))) 732683c1411Shappy-lx val forward_result_valid = Output(Bool()) 733683c1411Shappy-lx 734683c1411Shappy-lx def connect(sink: LduToMissqueueForwardIO) = { 735683c1411Shappy-lx sink.valid := valid 736683c1411Shappy-lx sink.mshrid := mshrid 737683c1411Shappy-lx sink.paddr := paddr 738683c1411Shappy-lx forward_mshr := sink.forward_mshr 739683c1411Shappy-lx forwardData := sink.forwardData 740683c1411Shappy-lx forward_result_valid := sink.forward_result_valid 741683c1411Shappy-lx } 742683c1411Shappy-lx 743683c1411Shappy-lx def forward() = { 744683c1411Shappy-lx (forward_result_valid, forward_mshr, forwardData) 745683c1411Shappy-lx } 746683c1411Shappy-lx} 747683c1411Shappy-lx 7480d32f713Shappy-lxclass StorePrefetchReq(implicit p: Parameters) extends DCacheBundle { 7490d32f713Shappy-lx val paddr = UInt(PAddrBits.W) 7500d32f713Shappy-lx val vaddr = UInt(VAddrBits.W) 7510d32f713Shappy-lx} 7520d32f713Shappy-lx 7531f0e2dc7SJiawei Linclass DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 75446ba64e8Ssfencevma val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 75546ba64e8Ssfencevma val sta = Vec(StorePipelineWidth, Flipped(new DCacheStoreIO)) // for non-blocking store 756692e2fafSHuijin Li //val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 7579444e131Ssfencevma val tl_d_channel = Output(new DcacheToLduForwardIO) 758ad3ba452Szhanglinjuan val store = new DCacheToSbufferIO // for sbuffer 7596786cfb7SWilliam Wang val atomics = Flipped(new AtomicWordIO) // atomics reqs 76067682d05SWilliam Wang val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check 761683c1411Shappy-lx val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO)) 762683c1411Shappy-lx val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO) 7631f0e2dc7SJiawei Lin} 7641f0e2dc7SJiawei Lin 76560ebee38STang Haojinclass DCacheTopDownIO(implicit p: Parameters) extends DCacheBundle { 76660ebee38STang Haojin val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 76760ebee38STang Haojin val robHeadMissInDCache = Output(Bool()) 76860ebee38STang Haojin val robHeadOtherReplay = Input(Bool()) 76960ebee38STang Haojin} 77060ebee38STang Haojin 7711f0e2dc7SJiawei Linclass DCacheIO(implicit p: Parameters) extends DCacheBundle { 772f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 773f1d78cf7SLinJiawei val l2_pf_store_only = Input(Bool()) 7741f0e2dc7SJiawei Lin val lsu = new DCacheToLsuIO 775e19f7967SWilliam Wang val csr = new L1CacheToCsrIO 7760184a80eSYanqin Li val error = ValidIO(new L1CacheErrorInfo) 7771f0e2dc7SJiawei Lin val mshrFull = Output(Bool()) 7780d32f713Shappy-lx val memSetPattenDetected = Output(Bool()) 7790d32f713Shappy-lx val lqEmpty = Input(Bool()) 7800d32f713Shappy-lx val pf_ctrl = Output(new PrefetchControlBundle) 7812fdb4d6aShappy-lx val force_write = Input(Bool()) 7826005a7e2Shappy-lx val sms_agt_evict_req = DecoupledIO(new AGTEvictReq) 78360ebee38STang Haojin val debugTopDown = new DCacheTopDownIO 7847cf78eb2Shappy-lx val debugRolling = Flipped(new RobDebugRollingIO) 785ffd3154dSCharlieLiu val l2_hint = Input(Valid(new L2ToL1Hint())) 7861f0e2dc7SJiawei Lin} 7871f0e2dc7SJiawei Lin 7881f0e2dc7SJiawei Linclass DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 78995e60e55STang Haojin override def shouldBeInlined: Boolean = false 7901f0e2dc7SJiawei Lin 791ffc9de54Swakafa val reqFields: Seq[BundleFieldBase] = Seq( 792ffc9de54Swakafa PrefetchField(), 793ffc9de54Swakafa ReqSourceField(), 794ffc9de54Swakafa VaddrField(VAddrBits - blockOffBits), 795d2945707SHuijin Li // IsKeywordField() 796ffc9de54Swakafa ) ++ cacheParams.aliasBitsOpt.map(AliasField) 797d2945707SHuijin Li val echoFields: Seq[BundleFieldBase] = Seq( 798d2945707SHuijin Li IsKeywordField() 799d2945707SHuijin Li ) 800ffc9de54Swakafa 8011f0e2dc7SJiawei Lin val clientParameters = TLMasterPortParameters.v1( 8021f0e2dc7SJiawei Lin Seq(TLMasterParameters.v1( 8031f0e2dc7SJiawei Lin name = "dcache", 804ad3ba452Szhanglinjuan sourceId = IdRange(0, nEntries + 1), 8051f0e2dc7SJiawei Lin supportsProbe = TransferSizes(cfg.blockBytes) 8061f0e2dc7SJiawei Lin )), 807ffc9de54Swakafa requestFields = reqFields, 808ffc9de54Swakafa echoFields = echoFields 8091f0e2dc7SJiawei Lin ) 8101f0e2dc7SJiawei Lin 8111f0e2dc7SJiawei Lin val clientNode = TLClientNode(Seq(clientParameters)) 8121f0e2dc7SJiawei Lin 8131f0e2dc7SJiawei Lin lazy val module = new DCacheImp(this) 8141f0e2dc7SJiawei Lin} 8151f0e2dc7SJiawei Lin 8161f0e2dc7SJiawei Lin 8170d32f713Shappy-lxclass DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents with HasL1PrefetchSourceParameter { 8181f0e2dc7SJiawei Lin 8191f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 8201f0e2dc7SJiawei Lin 8211f0e2dc7SJiawei Lin val (bus, edge) = outer.clientNode.out.head 8221f0e2dc7SJiawei Lin require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 8231f0e2dc7SJiawei Lin 8241f0e2dc7SJiawei Lin println("DCache:") 8251f0e2dc7SJiawei Lin println(" DCacheSets: " + DCacheSets) 8263eeae490SMaxpicca-Li println(" DCacheSetDiv: " + DCacheSetDiv) 8271f0e2dc7SJiawei Lin println(" DCacheWays: " + DCacheWays) 8281f0e2dc7SJiawei Lin println(" DCacheBanks: " + DCacheBanks) 8291f0e2dc7SJiawei Lin println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 8301f0e2dc7SJiawei Lin println(" DCacheWordOffset: " + DCacheWordOffset) 8311f0e2dc7SJiawei Lin println(" DCacheBankOffset: " + DCacheBankOffset) 8321f0e2dc7SJiawei Lin println(" DCacheSetOffset: " + DCacheSetOffset) 8331f0e2dc7SJiawei Lin println(" DCacheTagOffset: " + DCacheTagOffset) 8341f0e2dc7SJiawei Lin println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 8350d32f713Shappy-lx println(" DcacheMaxPrefetchEntry: " + MaxPrefetchEntry) 83604665835SMaxpicca-Li println(" WPUEnable: " + dwpuParam.enWPU) 83704665835SMaxpicca-Li println(" WPUEnableCfPred: " + dwpuParam.enCfPred) 83804665835SMaxpicca-Li println(" WPUAlgorithm: " + dwpuParam.algoName) 839*e3ed843cShappy-lx println(" HasCMO: " + HasCMO) 8401f0e2dc7SJiawei Lin 8410d32f713Shappy-lx // Enable L1 Store prefetch 8420d32f713Shappy-lx val StorePrefetchL1Enabled = EnableStorePrefetchAtCommit || EnableStorePrefetchAtIssue || EnableStorePrefetchSPB 84346ba64e8Ssfencevma val MetaReadPort = 84446ba64e8Ssfencevma if (StorePrefetchL1Enabled) 84546ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt 84646ba64e8Ssfencevma else 84746ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.HyuCnt 84846ba64e8Ssfencevma val TagReadPort = 84946ba64e8Ssfencevma if (StorePrefetchL1Enabled) 85046ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt 85146ba64e8Ssfencevma else 85246ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.HyuCnt 8530d32f713Shappy-lx 8540d32f713Shappy-lx // Enable L1 Load prefetch 8550d32f713Shappy-lx val LoadPrefetchL1Enabled = true 8560d32f713Shappy-lx val AccessArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 8570d32f713Shappy-lx val PrefetchArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 8580d32f713Shappy-lx 8591f0e2dc7SJiawei Lin //---------------------------------------- 8601f0e2dc7SJiawei Lin // core data structures 86104665835SMaxpicca-Li val bankedDataArray = if(dwpuParam.enWPU) Module(new SramedDataArray) else Module(new BankedDataArray) 862ffd3154dSCharlieLiu val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1)) 863ffd3154dSCharlieLiu val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1)) 864ffd3154dSCharlieLiu val prefetchArray = Module(new L1PrefetchSourceArray(readPorts = PrefetchArrayReadPort, writePorts = 1 + LoadPipelineWidth)) // prefetch flag array 865ffd3154dSCharlieLiu val accessArray = Module(new L1FlagMetaArray(readPorts = AccessArrayReadPort, writePorts = LoadPipelineWidth + 1)) 8660d32f713Shappy-lx val tagArray = Module(new DuplicatedTagArray(readPorts = TagReadPort)) 8670d32f713Shappy-lx val prefetcherMonitor = Module(new PrefetcherMonitor) 8680d32f713Shappy-lx val fdpMonitor = Module(new FDPrefetcherMonitor) 8690d32f713Shappy-lx val bloomFilter = Module(new BloomFilter(BLOOM_FILTER_ENTRY_NUM, true)) 8700d32f713Shappy-lx val counterFilter = Module(new CounterFilter) 8711f0e2dc7SJiawei Lin bankedDataArray.dump() 8721f0e2dc7SJiawei Lin 8731f0e2dc7SJiawei Lin //---------------------------------------- 8741f0e2dc7SJiawei Lin // core modules 87546ba64e8Ssfencevma val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 87646ba64e8Ssfencevma val stu = Seq.tabulate(StorePipelineWidth)({ i => Module(new StorePipe(i))}) 8771f0e2dc7SJiawei Lin val mainPipe = Module(new MainPipe) 878ffd3154dSCharlieLiu // val refillPipe = Module(new RefillPipe) 8791f0e2dc7SJiawei Lin val missQueue = Module(new MissQueue(edge)) 8801f0e2dc7SJiawei Lin val probeQueue = Module(new ProbeQueue(edge)) 8811f0e2dc7SJiawei Lin val wb = Module(new WritebackQueue(edge)) 8821f0e2dc7SJiawei Lin 8830d32f713Shappy-lx missQueue.io.lqEmpty := io.lqEmpty 8845668a921SJiawei Lin missQueue.io.hartId := io.hartId 885f1d78cf7SLinJiawei missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B) 88660ebee38STang Haojin missQueue.io.debugTopDown <> io.debugTopDown 887ffd3154dSCharlieLiu missQueue.io.l2_hint <> RegNext(io.l2_hint) 888ffd3154dSCharlieLiu missQueue.io.mainpipe_info := mainPipe.io.mainpipe_info 889ffd3154dSCharlieLiu mainPipe.io.refill_info := missQueue.io.refill_info 8907ecd6591SCharlie Liu mainPipe.io.replace_block := missQueue.io.replace_block 891ffd3154dSCharlieLiu mainPipe.io.sms_agt_evict_req <> io.sms_agt_evict_req 8920d32f713Shappy-lx io.memSetPattenDetected := missQueue.io.memSetPattenDetected 8935668a921SJiawei Lin 8949ef181f4SWilliam Wang val errors = ldu.map(_.io.error) ++ // load error 8959ef181f4SWilliam Wang Seq(mainPipe.io.error) // store / misc error 8960184a80eSYanqin Li val error_valid = errors.map(e => e.valid).reduce(_|_) 8970184a80eSYanqin Li io.error.bits <> RegEnable( 8980184a80eSYanqin Li Mux1H(errors.map(e => RegNext(e.valid) -> RegEnable(e.bits, e.valid))), 8990184a80eSYanqin Li RegNext(error_valid)) 9000184a80eSYanqin Li io.error.valid := RegNext(RegNext(error_valid, init = false.B), init = false.B) 901dd95524eSzhanglinjuan 9021f0e2dc7SJiawei Lin //---------------------------------------- 9031f0e2dc7SJiawei Lin // meta array 90446ba64e8Ssfencevma val HybridLoadReadBase = LoadPipelineWidth - backendParams.HyuCnt 90546ba64e8Ssfencevma val HybridStoreReadBase = StorePipelineWidth - backendParams.HyuCnt 90646ba64e8Ssfencevma 90746ba64e8Ssfencevma val hybrid_meta_read_ports = Wire(Vec(backendParams.HyuCnt, DecoupledIO(new MetaReadReq))) 90846ba64e8Ssfencevma val hybrid_meta_resp_ports = Wire(Vec(backendParams.HyuCnt, ldu(0).io.meta_resp.cloneType)) 90946ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 91046ba64e8Ssfencevma val HybridLoadMetaReadPort = HybridLoadReadBase + i 91146ba64e8Ssfencevma val HybridStoreMetaReadPort = HybridStoreReadBase + i 91246ba64e8Ssfencevma 91346ba64e8Ssfencevma hybrid_meta_read_ports(i).valid := ldu(HybridLoadMetaReadPort).io.meta_read.valid || 91446ba64e8Ssfencevma (stu(HybridStoreMetaReadPort).io.meta_read.valid && StorePrefetchL1Enabled.B) 91546ba64e8Ssfencevma hybrid_meta_read_ports(i).bits := Mux(ldu(HybridLoadMetaReadPort).io.meta_read.valid, ldu(HybridLoadMetaReadPort).io.meta_read.bits, 91646ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_read.bits) 91746ba64e8Ssfencevma 91846ba64e8Ssfencevma ldu(HybridLoadMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready 91946ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready && StorePrefetchL1Enabled.B 92046ba64e8Ssfencevma 92146ba64e8Ssfencevma ldu(HybridLoadMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i) 92246ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i) 92346ba64e8Ssfencevma } 9243af6aa6eSWilliam Wang 9253af6aa6eSWilliam Wang // read / write coh meta 92646ba64e8Ssfencevma val meta_read_ports = ldu.map(_.io.meta_read).take(HybridLoadReadBase) ++ 9270d32f713Shappy-lx Seq(mainPipe.io.meta_read) ++ 92846ba64e8Ssfencevma stu.map(_.io.meta_read).take(HybridStoreReadBase) ++ hybrid_meta_read_ports 9290d32f713Shappy-lx 93046ba64e8Ssfencevma val meta_resp_ports = ldu.map(_.io.meta_resp).take(HybridLoadReadBase) ++ 9310d32f713Shappy-lx Seq(mainPipe.io.meta_resp) ++ 93246ba64e8Ssfencevma stu.map(_.io.meta_resp).take(HybridStoreReadBase) ++ hybrid_meta_resp_ports 9330d32f713Shappy-lx 934ad3ba452Szhanglinjuan val meta_write_ports = Seq( 935ffd3154dSCharlieLiu mainPipe.io.meta_write 936ffd3154dSCharlieLiu // refillPipe.io.meta_write 937ad3ba452Szhanglinjuan ) 9380d32f713Shappy-lx if(StorePrefetchL1Enabled) { 939ad3ba452Szhanglinjuan meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p } 940ad3ba452Szhanglinjuan meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r } 9410d32f713Shappy-lx } else { 94246ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 94346ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.read).foreach { case (p, r) => r <> p } 94446ba64e8Ssfencevma (meta_resp_ports.take(HybridLoadReadBase + 1) ++ 94546ba64e8Ssfencevma meta_resp_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.resp).foreach { case (p, r) => p := r } 9460d32f713Shappy-lx 94746ba64e8Ssfencevma meta_read_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p.ready := false.B } 94846ba64e8Ssfencevma meta_resp_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p := 0.U.asTypeOf(p) } 9490d32f713Shappy-lx } 950ad3ba452Szhanglinjuan meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p } 9511f0e2dc7SJiawei Lin 9520d32f713Shappy-lx // read extra meta (exclude stu) 95346ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 95446ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(errorArray.io.read).foreach { case (p, r) => r <> p } 95546ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 95646ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(prefetchArray.io.read).foreach { case (p, r) => r <> p } 95746ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 95846ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(accessArray.io.read).foreach { case (p, r) => r <> p } 9595d9979bdSsfencevma val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp).take(HybridLoadReadBase) ++ 9605d9979bdSsfencevma Seq(mainPipe.io.extra_meta_resp) ++ 9615d9979bdSsfencevma ldu.map(_.io.extra_meta_resp).takeRight(backendParams.HyuCnt) 9623af6aa6eSWilliam Wang extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => { 9633af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).error := r(i) }) 9643af6aa6eSWilliam Wang }} 9653af6aa6eSWilliam Wang extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => { 9663af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).prefetch := r(i) }) 9673af6aa6eSWilliam Wang }} 9683af6aa6eSWilliam Wang extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => { 9693af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).access := r(i) }) 9703af6aa6eSWilliam Wang }} 9713af6aa6eSWilliam Wang 9720d32f713Shappy-lx if(LoadPrefetchL1Enabled) { 9730d32f713Shappy-lx // use last port to read prefetch and access flag 974ffd3154dSCharlieLiu// prefetchArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 975ffd3154dSCharlieLiu// prefetchArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 976ffd3154dSCharlieLiu// prefetchArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 977ffd3154dSCharlieLiu// 978ffd3154dSCharlieLiu// accessArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 979ffd3154dSCharlieLiu// accessArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 980ffd3154dSCharlieLiu// accessArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 981ffd3154dSCharlieLiu prefetchArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid 982ffd3154dSCharlieLiu prefetchArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx 983ffd3154dSCharlieLiu prefetchArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en 9840d32f713Shappy-lx 985ffd3154dSCharlieLiu accessArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid 986ffd3154dSCharlieLiu accessArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx 987ffd3154dSCharlieLiu accessArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en 9880d32f713Shappy-lx 989ffd3154dSCharlieLiu val extra_flag_valid = RegNext(mainPipe.io.prefetch_flag_write.valid) 990ffd3154dSCharlieLiu val extra_flag_way_en = RegEnable(mainPipe.io.prefetch_flag_write.bits.way_en, mainPipe.io.prefetch_flag_write.valid) 9910d32f713Shappy-lx val extra_flag_prefetch = Mux1H(extra_flag_way_en, prefetchArray.io.resp.last) 9920d32f713Shappy-lx val extra_flag_access = Mux1H(extra_flag_way_en, accessArray.io.resp.last) 9930d32f713Shappy-lx 9940d32f713Shappy-lx prefetcherMonitor.io.validity.good_prefetch := extra_flag_valid && isFromL1Prefetch(extra_flag_prefetch) && extra_flag_access 9950d32f713Shappy-lx prefetcherMonitor.io.validity.bad_prefetch := extra_flag_valid && isFromL1Prefetch(extra_flag_prefetch) && !extra_flag_access 9960d32f713Shappy-lx } 9970d32f713Shappy-lx 9983af6aa6eSWilliam Wang // write extra meta 9993af6aa6eSWilliam Wang val error_flag_write_ports = Seq( 1000ffd3154dSCharlieLiu mainPipe.io.error_flag_write // error flag generated by corrupted store 1001ffd3154dSCharlieLiu // refillPipe.io.error_flag_write // corrupted signal from l2 10023af6aa6eSWilliam Wang ) 1003026615fcSWilliam Wang error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p } 1004026615fcSWilliam Wang 10050d32f713Shappy-lx val prefetch_flag_write_ports = ldu.map(_.io.prefetch_flag_write) ++ Seq( 1006ffd3154dSCharlieLiu mainPipe.io.prefetch_flag_write // set prefetch_flag to false if coh is set to Nothing 1007ffd3154dSCharlieLiu // refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag 10083af6aa6eSWilliam Wang ) 10093af6aa6eSWilliam Wang prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p } 10103af6aa6eSWilliam Wang 101146ba64e8Ssfencevma // FIXME: add hybrid unit? 10120d32f713Shappy-lx val same_cycle_update_pf_flag = ldu(0).io.prefetch_flag_write.valid && ldu(1).io.prefetch_flag_write.valid && (ldu(0).io.prefetch_flag_write.bits.idx === ldu(1).io.prefetch_flag_write.bits.idx) && (ldu(0).io.prefetch_flag_write.bits.way_en === ldu(1).io.prefetch_flag_write.bits.way_en) 10130d32f713Shappy-lx XSPerfAccumulate("same_cycle_update_pf_flag", same_cycle_update_pf_flag) 10140d32f713Shappy-lx 10153af6aa6eSWilliam Wang val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq( 1016ffd3154dSCharlieLiu mainPipe.io.access_flag_write 1017ffd3154dSCharlieLiu // refillPipe.io.access_flag_write 10183af6aa6eSWilliam Wang ) 10193af6aa6eSWilliam Wang access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p } 10203af6aa6eSWilliam Wang 1021ad3ba452Szhanglinjuan //---------------------------------------- 1022ad3ba452Szhanglinjuan // tag array 10230d32f713Shappy-lx if(StorePrefetchL1Enabled) { 102446ba64e8Ssfencevma require(tagArray.io.read.size == (LoadPipelineWidth + StorePipelineWidth - backendParams.HyuCnt + 1)) 10250d32f713Shappy-lx }else { 102646ba64e8Ssfencevma require(tagArray.io.read.size == (LoadPipelineWidth + 1)) 10270d32f713Shappy-lx } 1028ffd3154dSCharlieLiu // val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend 1029ffd3154dSCharlieLiu val tag_write_intend = mainPipe.io.tag_write_intend 103009ae47d2SWilliam Wang assert(!RegNext(!tag_write_intend && tagArray.io.write.valid)) 103146ba64e8Ssfencevma ldu.take(HybridLoadReadBase).zipWithIndex.foreach { 1032ad3ba452Szhanglinjuan case (ld, i) => 1033ad3ba452Szhanglinjuan tagArray.io.read(i) <> ld.io.tag_read 1034ad3ba452Szhanglinjuan ld.io.tag_resp := tagArray.io.resp(i) 103509ae47d2SWilliam Wang ld.io.tag_read.ready := !tag_write_intend 10361f0e2dc7SJiawei Lin } 10370d32f713Shappy-lx if(StorePrefetchL1Enabled) { 103846ba64e8Ssfencevma stu.take(HybridStoreReadBase).zipWithIndex.foreach { 10390d32f713Shappy-lx case (st, i) => 104046ba64e8Ssfencevma tagArray.io.read(HybridLoadReadBase + i) <> st.io.tag_read 104146ba64e8Ssfencevma st.io.tag_resp := tagArray.io.resp(HybridLoadReadBase + i) 10420d32f713Shappy-lx st.io.tag_read.ready := !tag_write_intend 10430d32f713Shappy-lx } 10440d32f713Shappy-lx }else { 10450d32f713Shappy-lx stu.foreach { 10460d32f713Shappy-lx case st => 10470d32f713Shappy-lx st.io.tag_read.ready := false.B 10480d32f713Shappy-lx st.io.tag_resp := 0.U.asTypeOf(st.io.tag_resp) 10490d32f713Shappy-lx } 10500d32f713Shappy-lx } 105146ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 105246ba64e8Ssfencevma val HybridLoadTagReadPort = HybridLoadReadBase + i 105346ba64e8Ssfencevma val HybridStoreTagReadPort = HybridStoreReadBase + i 105446ba64e8Ssfencevma val TagReadPort = 105546ba64e8Ssfencevma if (EnableStorePrefetchSPB) 105646ba64e8Ssfencevma HybridLoadReadBase + HybridStoreReadBase + i 105746ba64e8Ssfencevma else 105846ba64e8Ssfencevma HybridLoadReadBase + i 105946ba64e8Ssfencevma 106046ba64e8Ssfencevma // read tag 106146ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := false.B 106246ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_read.ready := false.B 106346ba64e8Ssfencevma 106446ba64e8Ssfencevma if (StorePrefetchL1Enabled) { 106546ba64e8Ssfencevma when (ldu(HybridLoadTagReadPort).io.tag_read.valid) { 106646ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read 106746ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend 106846ba64e8Ssfencevma } .otherwise { 106946ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> stu(HybridStoreTagReadPort).io.tag_read 107046ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_read.ready := !tag_write_intend 107146ba64e8Ssfencevma } 107246ba64e8Ssfencevma } else { 107346ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read 107446ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend 107546ba64e8Ssfencevma } 107646ba64e8Ssfencevma 107746ba64e8Ssfencevma // tag resp 107846ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort) 107946ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort) 108046ba64e8Ssfencevma } 1081ad3ba452Szhanglinjuan tagArray.io.read.last <> mainPipe.io.tag_read 1082ad3ba452Szhanglinjuan mainPipe.io.tag_resp := tagArray.io.resp.last 1083ad3ba452Szhanglinjuan 108409ae47d2SWilliam Wang val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid)) 108509ae47d2SWilliam Wang XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle) 108609ae47d2SWilliam Wang 1087ffd3154dSCharlieLiu val tag_write_arb = Module(new Arbiter(new TagWriteReq, 1)) 1088ffd3154dSCharlieLiu // tag_write_arb.io.in(0) <> refillPipe.io.tag_write 1089ffd3154dSCharlieLiu tag_write_arb.io.in(0) <> mainPipe.io.tag_write 1090ad3ba452Szhanglinjuan tagArray.io.write <> tag_write_arb.io.out 10911f0e2dc7SJiawei Lin 109204665835SMaxpicca-Li ldu.map(m => { 109304665835SMaxpicca-Li m.io.vtag_update.valid := tagArray.io.write.valid 109404665835SMaxpicca-Li m.io.vtag_update.bits := tagArray.io.write.bits 109504665835SMaxpicca-Li }) 109604665835SMaxpicca-Li 10971f0e2dc7SJiawei Lin //---------------------------------------- 10981f0e2dc7SJiawei Lin // data array 1099d2b20d1aSTang Haojin mainPipe.io.data_read.zip(ldu).map(x => x._1 := x._2.io.lsu.req.valid) 11001f0e2dc7SJiawei Lin 1101ffd3154dSCharlieLiu val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 1)) 1102ffd3154dSCharlieLiu // dataWriteArb.io.in(0) <> refillPipe.io.data_write 1103ffd3154dSCharlieLiu dataWriteArb.io.in(0) <> mainPipe.io.data_write 1104ad3ba452Szhanglinjuan 1105ad3ba452Szhanglinjuan bankedDataArray.io.write <> dataWriteArb.io.out 11061f0e2dc7SJiawei Lin 11076c7e5e86Szhanglinjuan for (bank <- 0 until DCacheBanks) { 1108ffd3154dSCharlieLiu val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 1)) 1109ffd3154dSCharlieLiu // dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid 1110ffd3154dSCharlieLiu // dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits 1111ffd3154dSCharlieLiu dataWriteArb_dup.io.in(0).valid := mainPipe.io.data_write_dup(bank).valid 1112ffd3154dSCharlieLiu dataWriteArb_dup.io.in(0).bits := mainPipe.io.data_write_dup(bank).bits 11136c7e5e86Szhanglinjuan 11146c7e5e86Szhanglinjuan bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out 11156c7e5e86Szhanglinjuan } 11166c7e5e86Szhanglinjuan 1117d2b20d1aSTang Haojin bankedDataArray.io.readline <> mainPipe.io.data_readline 11187a5caa97Szhanglinjuan bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend 11196786cfb7SWilliam Wang mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed 1120144422dcSMaxpicca-Li mainPipe.io.data_resp := bankedDataArray.io.readline_resp 11211f0e2dc7SJiawei Lin 11229ef181f4SWilliam Wang (0 until LoadPipelineWidth).map(i => { 11239ef181f4SWilliam Wang bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read 1124cdbff57cSHaoyuan Feng bankedDataArray.io.is128Req(i) <> ldu(i).io.is128Req 11256786cfb7SWilliam Wang bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed 11269ef181f4SWilliam Wang 1127d4564868Sweiding liu ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp(i) 1128144422dcSMaxpicca-Li 11299ef181f4SWilliam Wang ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i) 11309ef181f4SWilliam Wang }) 1131d2945707SHuijin Li val isKeyword = bus.d.bits.echo.lift(IsKeywordKey).getOrElse(false.B) 1132774f100aSWilliam Wang (0 until LoadPipelineWidth).map(i => { 1133683c1411Shappy-lx val (_, _, done, _) = edge.count(bus.d) 1134683c1411Shappy-lx when(bus.d.bits.opcode === TLMessages.GrantData) { 1135d2945707SHuijin Li io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, isKeyword ^ done) 1136d2945707SHuijin Li // io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source,done) 1137683c1411Shappy-lx }.otherwise { 1138683c1411Shappy-lx io.lsu.forward_D(i).dontCare() 1139683c1411Shappy-lx } 1140683c1411Shappy-lx }) 11419444e131Ssfencevma // tl D channel wakeup 11429444e131Ssfencevma val (_, _, done, _) = edge.count(bus.d) 11439444e131Ssfencevma when (bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.Grant) { 11449444e131Ssfencevma io.lsu.tl_d_channel.apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done) 11459444e131Ssfencevma } .otherwise { 11469444e131Ssfencevma io.lsu.tl_d_channel.dontCare() 11479444e131Ssfencevma } 11482fdb4d6aShappy-lx mainPipe.io.force_write <> io.force_write 1149683c1411Shappy-lx 115004665835SMaxpicca-Li /** dwpu */ 11514a0e27ecSYanqin Li if (dwpuParam.enWPU) { 115204665835SMaxpicca-Li val dwpu = Module(new DCacheWpuWrapper(LoadPipelineWidth)) 115304665835SMaxpicca-Li for(i <- 0 until LoadPipelineWidth){ 115404665835SMaxpicca-Li dwpu.io.req(i) <> ldu(i).io.dwpu.req(0) 115504665835SMaxpicca-Li dwpu.io.resp(i) <> ldu(i).io.dwpu.resp(0) 115604665835SMaxpicca-Li dwpu.io.lookup_upd(i) <> ldu(i).io.dwpu.lookup_upd(0) 115704665835SMaxpicca-Li dwpu.io.cfpred(i) <> ldu(i).io.dwpu.cfpred(0) 115804665835SMaxpicca-Li } 115904665835SMaxpicca-Li dwpu.io.tagwrite_upd.valid := tagArray.io.write.valid 116004665835SMaxpicca-Li dwpu.io.tagwrite_upd.bits.vaddr := tagArray.io.write.bits.vaddr 116104665835SMaxpicca-Li dwpu.io.tagwrite_upd.bits.s1_real_way_en := tagArray.io.write.bits.way_en 11624a0e27ecSYanqin Li } else { 11634a0e27ecSYanqin Li for(i <- 0 until LoadPipelineWidth){ 11644a0e27ecSYanqin Li ldu(i).io.dwpu.req(0).ready := true.B 11654a0e27ecSYanqin Li ldu(i).io.dwpu.resp(0).valid := false.B 11664a0e27ecSYanqin Li ldu(i).io.dwpu.resp(0).bits := DontCare 11674a0e27ecSYanqin Li } 11684a0e27ecSYanqin Li } 116904665835SMaxpicca-Li 11701f0e2dc7SJiawei Lin //---------------------------------------- 11711f0e2dc7SJiawei Lin // load pipe 11721f0e2dc7SJiawei Lin // the s1 kill signal 11731f0e2dc7SJiawei Lin // only lsu uses this, replay never kills 11741f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { 11751f0e2dc7SJiawei Lin ldu(w).io.lsu <> io.lsu.load(w) 11761f0e2dc7SJiawei Lin 1177cdbff57cSHaoyuan Feng // TODO:when have load128Req 117800e6f2e2Sweiding liu ldu(w).io.load128Req := io.lsu.load(w).is128Req 1179cdbff57cSHaoyuan Feng 11801f0e2dc7SJiawei Lin // replay and nack not needed anymore 11811f0e2dc7SJiawei Lin // TODO: remove replay and nack 11821f0e2dc7SJiawei Lin ldu(w).io.nack := false.B 11831f0e2dc7SJiawei Lin 11841f0e2dc7SJiawei Lin ldu(w).io.disable_ld_fast_wakeup := 11857a5caa97Szhanglinjuan bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict 11861f0e2dc7SJiawei Lin } 11871f0e2dc7SJiawei Lin 11880d32f713Shappy-lx prefetcherMonitor.io.timely.total_prefetch := ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) 11890d32f713Shappy-lx prefetcherMonitor.io.timely.late_hit_prefetch := ldu.map(_.io.prefetch_info.naive.late_hit_prefetch).reduce(_ || _) 11900d32f713Shappy-lx prefetcherMonitor.io.timely.late_miss_prefetch := missQueue.io.prefetch_info.naive.late_miss_prefetch 11910d32f713Shappy-lx prefetcherMonitor.io.timely.prefetch_hit := PopCount(ldu.map(_.io.prefetch_info.naive.prefetch_hit)) 11920d32f713Shappy-lx io.pf_ctrl <> prefetcherMonitor.io.pf_ctrl 11930d32f713Shappy-lx XSPerfAccumulate("useless_prefetch", ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) && !(ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _))) 11940d32f713Shappy-lx XSPerfAccumulate("useful_prefetch", ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _)) 11950d32f713Shappy-lx XSPerfAccumulate("late_prefetch_hit", ldu.map(_.io.prefetch_info.naive.late_prefetch_hit).reduce(_ || _)) 11960d32f713Shappy-lx XSPerfAccumulate("late_load_hit", ldu.map(_.io.prefetch_info.naive.late_load_hit).reduce(_ || _)) 11970d32f713Shappy-lx 1198da3bf434SMaxpicca-Li /** LoadMissDB: record load miss state */ 1199c686adcdSYinan Xu val hartId = p(XSCoreParamsKey).HartId 1200c686adcdSYinan Xu val isWriteLoadMissTable = Constantin.createRecord(s"isWriteLoadMissTable$hartId") 1201c686adcdSYinan Xu val isFirstHitWrite = Constantin.createRecord(s"isFirstHitWrite$hartId") 1202c686adcdSYinan Xu val tableName = s"LoadMissDB$hartId" 1203c686adcdSYinan Xu val siteName = s"DcacheWrapper$hartId" 1204da3bf434SMaxpicca-Li val loadMissTable = ChiselDB.createTable(tableName, new LoadMissEntry) 1205da3bf434SMaxpicca-Li for( i <- 0 until LoadPipelineWidth){ 1206da3bf434SMaxpicca-Li val loadMissEntry = Wire(new LoadMissEntry) 1207da3bf434SMaxpicca-Li val loadMissWriteEn = 1208da3bf434SMaxpicca-Li (!ldu(i).io.lsu.resp.bits.replay && ldu(i).io.miss_req.fire) || 1209da3bf434SMaxpicca-Li (ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid && isFirstHitWrite.orR) 1210da3bf434SMaxpicca-Li loadMissEntry.timeCnt := GTimer() 1211da3bf434SMaxpicca-Li loadMissEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 1212da3bf434SMaxpicca-Li loadMissEntry.paddr := ldu(i).io.miss_req.bits.addr 1213da3bf434SMaxpicca-Li loadMissEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 1214da3bf434SMaxpicca-Li loadMissEntry.missState := OHToUInt(Cat(Seq( 1215da3bf434SMaxpicca-Li ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 1216da3bf434SMaxpicca-Li ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 1217da3bf434SMaxpicca-Li ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 1218da3bf434SMaxpicca-Li ))) 1219da3bf434SMaxpicca-Li loadMissTable.log( 1220da3bf434SMaxpicca-Li data = loadMissEntry, 1221da3bf434SMaxpicca-Li en = isWriteLoadMissTable.orR && loadMissWriteEn, 1222da3bf434SMaxpicca-Li site = siteName, 1223da3bf434SMaxpicca-Li clock = clock, 1224da3bf434SMaxpicca-Li reset = reset 1225da3bf434SMaxpicca-Li ) 1226da3bf434SMaxpicca-Li } 1227da3bf434SMaxpicca-Li 1228c686adcdSYinan Xu val isWriteLoadAccessTable = Constantin.createRecord(s"isWriteLoadAccessTable$hartId") 1229c686adcdSYinan Xu val loadAccessTable = ChiselDB.createTable(s"LoadAccessDB$hartId", new LoadAccessEntry) 123004665835SMaxpicca-Li for (i <- 0 until LoadPipelineWidth) { 123104665835SMaxpicca-Li val loadAccessEntry = Wire(new LoadAccessEntry) 123204665835SMaxpicca-Li loadAccessEntry.timeCnt := GTimer() 123304665835SMaxpicca-Li loadAccessEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 123404665835SMaxpicca-Li loadAccessEntry.paddr := ldu(i).io.miss_req.bits.addr 123504665835SMaxpicca-Li loadAccessEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 123604665835SMaxpicca-Li loadAccessEntry.missState := OHToUInt(Cat(Seq( 123704665835SMaxpicca-Li ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 123804665835SMaxpicca-Li ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 123904665835SMaxpicca-Li ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 124004665835SMaxpicca-Li ))) 124104665835SMaxpicca-Li loadAccessEntry.pred_way_num := ldu(i).io.lsu.debug_s2_pred_way_num 124204665835SMaxpicca-Li loadAccessEntry.real_way_num := ldu(i).io.lsu.debug_s2_real_way_num 124304665835SMaxpicca-Li loadAccessEntry.dm_way_num := ldu(i).io.lsu.debug_s2_dm_way_num 124404665835SMaxpicca-Li loadAccessTable.log( 124504665835SMaxpicca-Li data = loadAccessEntry, 124604665835SMaxpicca-Li en = isWriteLoadAccessTable.orR && ldu(i).io.lsu.resp.valid, 124704665835SMaxpicca-Li site = siteName + "_loadpipe" + i.toString, 124804665835SMaxpicca-Li clock = clock, 124904665835SMaxpicca-Li reset = reset 125004665835SMaxpicca-Li ) 125104665835SMaxpicca-Li } 125204665835SMaxpicca-Li 12531f0e2dc7SJiawei Lin //---------------------------------------- 12540d32f713Shappy-lx // Sta pipe 125546ba64e8Ssfencevma for (w <- 0 until StorePipelineWidth) { 12560d32f713Shappy-lx stu(w).io.lsu <> io.lsu.sta(w) 12570d32f713Shappy-lx } 12580d32f713Shappy-lx 12590d32f713Shappy-lx //---------------------------------------- 12601f0e2dc7SJiawei Lin // atomics 12611f0e2dc7SJiawei Lin // atomics not finished yet 12625adc4829SYanqin Li val atomic_resp_valid = mainPipe.io.atomic_resp.valid && mainPipe.io.atomic_resp.bits.isAMO 12635adc4829SYanqin Li io.lsu.atomics.resp.valid := RegNext(atomic_resp_valid) 12645adc4829SYanqin Li io.lsu.atomics.resp.bits := RegEnable(mainPipe.io.atomic_resp.bits, atomic_resp_valid) 126562cb71fbShappy-lx io.lsu.atomics.block_lr := mainPipe.io.block_lr 126662cb71fbShappy-lx // atomicsReplayUnit.io.pipe_resp := RegNext(mainPipe.io.atomic_resp) 126762cb71fbShappy-lx // atomicsReplayUnit.io.block_lr <> mainPipe.io.block_lr 12681f0e2dc7SJiawei Lin 12691f0e2dc7SJiawei Lin //---------------------------------------- 12701f0e2dc7SJiawei Lin // miss queue 12710d32f713Shappy-lx // missReqArb port: 127246ba64e8Ssfencevma // enableStorePrefetch: main pipe * 1 + load pipe * 2 + store pipe * 1 + 127346ba64e8Ssfencevma // hybrid * 1; disable: main pipe * 1 + load pipe * 2 + hybrid * 1 12740d32f713Shappy-lx // higher priority is given to lower indices 127546ba64e8Ssfencevma val MissReqPortCount = if(StorePrefetchL1Enabled) 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt else 1 + backendParams.LduCnt + backendParams.HyuCnt 12761f0e2dc7SJiawei Lin val MainPipeMissReqPort = 0 127746ba64e8Ssfencevma val HybridMissReqBase = MissReqPortCount - backendParams.HyuCnt 12781f0e2dc7SJiawei Lin 12791f0e2dc7SJiawei Lin // Request 12806008d57dShappy-lx val missReqArb = Module(new ArbiterFilterByCacheLineAddr(new MissReq, MissReqPortCount, blockOffBits, PAddrBits)) 12811f0e2dc7SJiawei Lin 1282a98b054bSWilliam Wang missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 128346ba64e8Ssfencevma for (w <- 0 until backendParams.LduCnt) { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req } 12841f0e2dc7SJiawei Lin 1285fa9ac9b6SWilliam Wang for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp := missQueue.io.resp } 1286fa9ac9b6SWilliam Wang mainPipe.io.miss_resp := missQueue.io.resp 1287683c1411Shappy-lx 12880d32f713Shappy-lx if(StorePrefetchL1Enabled) { 128946ba64e8Ssfencevma for (w <- 0 until backendParams.StaCnt) { missReqArb.io.in(1 + backendParams.LduCnt + w) <> stu(w).io.miss_req } 12900d32f713Shappy-lx }else { 1291d7739d95Ssfencevma for (w <- 0 until backendParams.StaCnt) { stu(w).io.miss_req.ready := false.B } 12920d32f713Shappy-lx } 12930d32f713Shappy-lx 129446ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 129546ba64e8Ssfencevma val HybridLoadReqPort = HybridLoadReadBase + i 129646ba64e8Ssfencevma val HybridStoreReqPort = HybridStoreReadBase + i 129746ba64e8Ssfencevma val HybridMissReqPort = HybridMissReqBase + i 129846ba64e8Ssfencevma 129946ba64e8Ssfencevma ldu(HybridLoadReqPort).io.miss_req.ready := false.B 130046ba64e8Ssfencevma stu(HybridStoreReqPort).io.miss_req.ready := false.B 130146ba64e8Ssfencevma 130246ba64e8Ssfencevma if (StorePrefetchL1Enabled) { 130346ba64e8Ssfencevma when (ldu(HybridLoadReqPort).io.miss_req.valid) { 130446ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 130546ba64e8Ssfencevma } .otherwise { 130646ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> stu(HybridStoreReqPort).io.miss_req 130746ba64e8Ssfencevma } 130846ba64e8Ssfencevma } else { 130946ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 131046ba64e8Ssfencevma } 131146ba64e8Ssfencevma } 131246ba64e8Ssfencevma 131346ba64e8Ssfencevma 13141f0e2dc7SJiawei Lin wb.io.miss_req.valid := missReqArb.io.out.valid 13151f0e2dc7SJiawei Lin wb.io.miss_req.bits := missReqArb.io.out.bits.addr 13161f0e2dc7SJiawei Lin 1317a98b054bSWilliam Wang // block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req) 1318a98b054bSWilliam Wang missReqArb.io.out <> missQueue.io.req 1319a98b054bSWilliam Wang when(wb.io.block_miss_req) { 1320a98b054bSWilliam Wang missQueue.io.req.bits.cancel := true.B 1321a98b054bSWilliam Wang missReqArb.io.out.ready := false.B 1322a98b054bSWilliam Wang } 13231f0e2dc7SJiawei Lin 1324e50f3145Ssfencevma for (w <- 0 until LoadPipelineWidth) { ldu(w).io.mq_enq_cancel := missQueue.io.mq_enq_cancel } 1325e50f3145Ssfencevma 13266008d57dShappy-lx XSPerfAccumulate("miss_queue_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) >= 1.U) 13276008d57dShappy-lx XSPerfAccumulate("miss_queue_muti_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) > 1.U) 13286b5c3d02Shappy-lx 13296b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) >= 1.U) 13306b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_muti_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U) 13316b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_muti_enq_but_not_fire", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U && PopCount(VecInit(missReqArb.io.in.map(_.fire))) === 0.U) 13326008d57dShappy-lx 1333683c1411Shappy-lx // forward missqueue 1334683c1411Shappy-lx (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i))) 1335683c1411Shappy-lx 13361f0e2dc7SJiawei Lin // refill to load queue 1337692e2fafSHuijin Li // io.lsu.lsq <> missQueue.io.refill_to_ldq 13381f0e2dc7SJiawei Lin 13391f0e2dc7SJiawei Lin // tilelink stuff 13401f0e2dc7SJiawei Lin bus.a <> missQueue.io.mem_acquire 13411f0e2dc7SJiawei Lin bus.e <> missQueue.io.mem_finish 1342ad3ba452Szhanglinjuan missQueue.io.probe_addr := bus.b.bits.address 13437ecd6591SCharlie Liu missQueue.io.replace_addr := mainPipe.io.replace_addr 1344ad3ba452Szhanglinjuan 13455adc4829SYanqin Li missQueue.io.main_pipe_resp.valid := RegNext(mainPipe.io.atomic_resp.valid) 13465adc4829SYanqin Li missQueue.io.main_pipe_resp.bits := RegEnable(mainPipe.io.atomic_resp.bits, mainPipe.io.atomic_resp.valid) 13471f0e2dc7SJiawei Lin 13481f0e2dc7SJiawei Lin //---------------------------------------- 13491f0e2dc7SJiawei Lin // probe 13501f0e2dc7SJiawei Lin // probeQueue.io.mem_probe <> bus.b 13511f0e2dc7SJiawei Lin block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 1352ad3ba452Szhanglinjuan probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 1353300ded30SWilliam Wang probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set 13541f0e2dc7SJiawei Lin 1355ffd3154dSCharlieLiu val refill_req = RegNext(missQueue.io.main_pipe_req.valid && ((missQueue.io.main_pipe_req.bits.isLoad) | (missQueue.io.main_pipe_req.bits.isStore))) 13561f0e2dc7SJiawei Lin //---------------------------------------- 13571f0e2dc7SJiawei Lin // mainPipe 1358ad3ba452Szhanglinjuan // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe, 1359ad3ba452Szhanglinjuan // block the req in main pipe 1360ffd3154dSCharlieLiu // block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, missQueue.io.refill_pipe_req.valid) 1361ffd3154dSCharlieLiu block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, refill_req) 1362ffd3154dSCharlieLiu // block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refillPipe.io.req.valid) 1363ffd3154dSCharlieLiu block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refill_req) 13641f0e2dc7SJiawei Lin 13655adc4829SYanqin Li io.lsu.store.replay_resp.valid := RegNext(mainPipe.io.store_replay_resp.valid) 13665adc4829SYanqin Li io.lsu.store.replay_resp.bits := RegEnable(mainPipe.io.store_replay_resp.bits, mainPipe.io.store_replay_resp.valid) 1367ad3ba452Szhanglinjuan io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp 13681f0e2dc7SJiawei Lin 1369ffd3154dSCharlieLiu mainPipe.io.atomic_req <> io.lsu.atomics.req 13701f0e2dc7SJiawei Lin 1371d67c873fSzhanglinjuan mainPipe.io.invalid_resv_set := RegNext( 1372d67c873fSzhanglinjuan wb.io.req.fire && 1373d67c873fSzhanglinjuan wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits && 1374d67c873fSzhanglinjuan mainPipe.io.lrsc_locked_block.valid 1375d67c873fSzhanglinjuan ) 13761f0e2dc7SJiawei Lin 1377ad3ba452Szhanglinjuan //---------------------------------------- 1378b36dd5fdSWilliam Wang // replace (main pipe) 1379ad3ba452Szhanglinjuan val mpStatus = mainPipe.io.status 1380ffd3154dSCharlieLiu mainPipe.io.refill_req <> missQueue.io.main_pipe_req 13811f0e2dc7SJiawei Lin 1382ffd3154dSCharlieLiu mainPipe.io.data_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B)) 1383ffd3154dSCharlieLiu mainPipe.io.tag_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B)) 1384c3a5fe5fShappy-lx mainPipe.io.wb_ready_dup := wb.io.req_ready_dup 1385c3a5fe5fShappy-lx 13861f0e2dc7SJiawei Lin //---------------------------------------- 13871f0e2dc7SJiawei Lin // wb 13881f0e2dc7SJiawei Lin // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 1389026615fcSWilliam Wang 1390578c21a4Szhanglinjuan wb.io.req <> mainPipe.io.wb 13911f0e2dc7SJiawei Lin bus.c <> wb.io.mem_release 1392ffd3154dSCharlieLiu // wb.io.release_wakeup := refillPipe.io.release_wakeup 1393ffd3154dSCharlieLiu // wb.io.release_update := mainPipe.io.release_update 1394ffd3154dSCharlieLiu //wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req 1395ffd3154dSCharlieLiu //wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp 1396ef3b5b96SWilliam Wang 1397935edac4STang Haojin io.lsu.release.valid := RegNext(wb.io.req.fire) 13985adc4829SYanqin Li io.lsu.release.bits.paddr := RegEnable(wb.io.req.bits.addr, wb.io.req.fire) 1399ef3b5b96SWilliam Wang // Note: RegNext() is required by: 1400ef3b5b96SWilliam Wang // * load queue released flag update logic 1401ef3b5b96SWilliam Wang // * load / load violation check logic 1402ef3b5b96SWilliam Wang // * and timing requirements 1403ef3b5b96SWilliam Wang // CHANGE IT WITH CARE 14041f0e2dc7SJiawei Lin 14051f0e2dc7SJiawei Lin // connect bus d 14061f0e2dc7SJiawei Lin missQueue.io.mem_grant.valid := false.B 14071f0e2dc7SJiawei Lin missQueue.io.mem_grant.bits := DontCare 14081f0e2dc7SJiawei Lin 14091f0e2dc7SJiawei Lin wb.io.mem_grant.valid := false.B 14101f0e2dc7SJiawei Lin wb.io.mem_grant.bits := DontCare 14111f0e2dc7SJiawei Lin 14121f0e2dc7SJiawei Lin // in L1DCache, we ony expect Grant[Data] and ReleaseAck 14131f0e2dc7SJiawei Lin bus.d.ready := false.B 14141f0e2dc7SJiawei Lin when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) { 14151f0e2dc7SJiawei Lin missQueue.io.mem_grant <> bus.d 14161f0e2dc7SJiawei Lin } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 14171f0e2dc7SJiawei Lin wb.io.mem_grant <> bus.d 14181f0e2dc7SJiawei Lin } .otherwise { 1419935edac4STang Haojin assert (!bus.d.fire) 14201f0e2dc7SJiawei Lin } 14211f0e2dc7SJiawei Lin 14221f0e2dc7SJiawei Lin //---------------------------------------- 14230d32f713Shappy-lx // Feedback Direct Prefetch Monitor 14240d32f713Shappy-lx fdpMonitor.io.refill := missQueue.io.prefetch_info.fdp.prefetch_monitor_cnt 14250d32f713Shappy-lx fdpMonitor.io.timely.late_prefetch := missQueue.io.prefetch_info.fdp.late_miss_prefetch 14260d32f713Shappy-lx fdpMonitor.io.accuracy.total_prefetch := missQueue.io.prefetch_info.fdp.total_prefetch 14270d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { 14280d32f713Shappy-lx if(w == 0) { 14290d32f713Shappy-lx fdpMonitor.io.accuracy.useful_prefetch(w) := ldu(w).io.prefetch_info.fdp.useful_prefetch 14300d32f713Shappy-lx }else { 14310d32f713Shappy-lx fdpMonitor.io.accuracy.useful_prefetch(w) := Mux(same_cycle_update_pf_flag, false.B, ldu(w).io.prefetch_info.fdp.useful_prefetch) 14320d32f713Shappy-lx } 14330d32f713Shappy-lx } 14340d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.cache_pollution(w) := ldu(w).io.prefetch_info.fdp.pollution } 14350d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.demand_miss(w) := ldu(w).io.prefetch_info.fdp.demand_miss } 14367cf78eb2Shappy-lx fdpMonitor.io.debugRolling := io.debugRolling 14370d32f713Shappy-lx 14380d32f713Shappy-lx //---------------------------------------- 14390d32f713Shappy-lx // Bloom Filter 1440ffd3154dSCharlieLiu // bloomFilter.io.set <> missQueue.io.bloom_filter_query.set 1441ffd3154dSCharlieLiu // bloomFilter.io.clr <> missQueue.io.bloom_filter_query.clr 1442ffd3154dSCharlieLiu bloomFilter.io.set <> mainPipe.io.bloom_filter_query.set 1443ffd3154dSCharlieLiu bloomFilter.io.clr <> mainPipe.io.bloom_filter_query.clr 14440d32f713Shappy-lx 14450d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.query(w) <> ldu(w).io.bloom_filter_query.query } 14460d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.resp(w) <> ldu(w).io.bloom_filter_query.resp } 14470d32f713Shappy-lx 14480d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { counterFilter.io.ld_in(w) <> ldu(w).io.counter_filter_enq } 14490d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { counterFilter.io.query(w) <> ldu(w).io.counter_filter_query } 14500d32f713Shappy-lx 14510d32f713Shappy-lx //---------------------------------------- 1452ad3ba452Szhanglinjuan // replacement algorithm 1453ad3ba452Szhanglinjuan val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets) 14540d32f713Shappy-lx val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) ++ stu.map(_.io.replace_way) 145504665835SMaxpicca-Li 145604665835SMaxpicca-Li if (dwpuParam.enCfPred) { 14574a0e27ecSYanqin Li val victimList = VictimList(nSets) 1458ad3ba452Szhanglinjuan replWayReqs.foreach { 1459ad3ba452Szhanglinjuan case req => 1460ad3ba452Szhanglinjuan req.way := DontCare 146104665835SMaxpicca-Li when(req.set.valid) { 146204665835SMaxpicca-Li when(victimList.whether_sa(req.set.bits)) { 146304665835SMaxpicca-Li req.way := replacer.way(req.set.bits) 146404665835SMaxpicca-Li }.otherwise { 146504665835SMaxpicca-Li req.way := req.dmWay 146604665835SMaxpicca-Li } 146704665835SMaxpicca-Li } 146804665835SMaxpicca-Li } 146904665835SMaxpicca-Li } else { 147004665835SMaxpicca-Li replWayReqs.foreach { 147104665835SMaxpicca-Li case req => 147204665835SMaxpicca-Li req.way := DontCare 147304665835SMaxpicca-Li when(req.set.valid) { 147404665835SMaxpicca-Li req.way := replacer.way(req.set.bits) 147504665835SMaxpicca-Li } 147604665835SMaxpicca-Li } 1477ad3ba452Szhanglinjuan } 1478ad3ba452Szhanglinjuan 1479ad3ba452Szhanglinjuan val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq( 148092816bbcSWilliam Wang mainPipe.io.replace_access 14810d32f713Shappy-lx ) ++ stu.map(_.io.replace_access) 1482ad3ba452Szhanglinjuan val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W)))) 1483ad3ba452Szhanglinjuan touchWays.zip(replAccessReqs).foreach { 1484ad3ba452Szhanglinjuan case (w, req) => 1485ad3ba452Szhanglinjuan w.valid := req.valid 1486ad3ba452Szhanglinjuan w.bits := req.bits.way 1487ad3ba452Szhanglinjuan } 1488ad3ba452Szhanglinjuan val touchSets = replAccessReqs.map(_.bits.set) 1489ad3ba452Szhanglinjuan replacer.access(touchSets, touchWays) 1490ad3ba452Szhanglinjuan 1491ad3ba452Szhanglinjuan //---------------------------------------- 14921f0e2dc7SJiawei Lin // assertions 14931f0e2dc7SJiawei Lin // dcache should only deal with DRAM addresses 1494935edac4STang Haojin when (bus.a.fire) { 14951f0e2dc7SJiawei Lin assert(bus.a.bits.address >= 0x80000000L.U) 14961f0e2dc7SJiawei Lin } 1497935edac4STang Haojin when (bus.b.fire) { 14981f0e2dc7SJiawei Lin assert(bus.b.bits.address >= 0x80000000L.U) 14991f0e2dc7SJiawei Lin } 1500935edac4STang Haojin when (bus.c.fire) { 15011f0e2dc7SJiawei Lin assert(bus.c.bits.address >= 0x80000000L.U) 15021f0e2dc7SJiawei Lin } 15031f0e2dc7SJiawei Lin 15041f0e2dc7SJiawei Lin //---------------------------------------- 15051f0e2dc7SJiawei Lin // utility functions 15061f0e2dc7SJiawei Lin def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 15071f0e2dc7SJiawei Lin sink.valid := source.valid && !block_signal 15081f0e2dc7SJiawei Lin source.ready := sink.ready && !block_signal 15091f0e2dc7SJiawei Lin sink.bits := source.bits 15101f0e2dc7SJiawei Lin } 15111f0e2dc7SJiawei Lin 1512ffd3154dSCharlieLiu 15131f0e2dc7SJiawei Lin //---------------------------------------- 1514e19f7967SWilliam Wang // Customized csr cache op support 1515e19f7967SWilliam Wang val cacheOpDecoder = Module(new CSRCacheOpDecoder("dcache", CacheInstrucion.COP_ID_DCACHE)) 1516e19f7967SWilliam Wang cacheOpDecoder.io.csr <> io.csr 1517c3a5fe5fShappy-lx bankedDataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1518c3a5fe5fShappy-lx // dup cacheOp_req_valid 1519779109e3Slixin bankedDataArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1520c3a5fe5fShappy-lx // dup cacheOp_req_bits_opCode 1521779109e3Slixin bankedDataArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1522c3a5fe5fShappy-lx 1523e19f7967SWilliam Wang tagArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1524c3a5fe5fShappy-lx // dup cacheOp_req_valid 1525779109e3Slixin tagArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1526c3a5fe5fShappy-lx // dup cacheOp_req_bits_opCode 1527779109e3Slixin tagArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1528e47fc57cSlixin 1529e19f7967SWilliam Wang cacheOpDecoder.io.cache.resp.valid := bankedDataArray.io.cacheOp.resp.valid || 1530e19f7967SWilliam Wang tagArray.io.cacheOp.resp.valid 1531e19f7967SWilliam Wang cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 1532e19f7967SWilliam Wang bankedDataArray.io.cacheOp.resp.valid -> bankedDataArray.io.cacheOp.resp.bits, 1533e19f7967SWilliam Wang tagArray.io.cacheOp.resp.valid -> tagArray.io.cacheOp.resp.bits, 1534e19f7967SWilliam Wang )) 1535026615fcSWilliam Wang cacheOpDecoder.io.error := io.error 153641b68474SWilliam Wang assert(!((bankedDataArray.io.cacheOp.resp.valid +& tagArray.io.cacheOp.resp.valid) > 1.U)) 1537e19f7967SWilliam Wang 1538e19f7967SWilliam Wang //---------------------------------------- 15391f0e2dc7SJiawei Lin // performance counters 1540935edac4STang Haojin val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire)) 15411f0e2dc7SJiawei Lin XSPerfAccumulate("num_loads", num_loads) 15421f0e2dc7SJiawei Lin 15431f0e2dc7SJiawei Lin io.mshrFull := missQueue.io.full 1544ad3ba452Szhanglinjuan 1545ad3ba452Szhanglinjuan // performance counter 1546ffd3154dSCharlieLiu // val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType)) 1547ffd3154dSCharlieLiu // val st_access = Wire(ld_access.last.cloneType) 1548ffd3154dSCharlieLiu // ld_access.zip(ldu).foreach { 1549ffd3154dSCharlieLiu // case (a, u) => 15505adc4829SYanqin Li // a.valid := RegNext(u.io.lsu.req.fire) && !u.io.lsu.s1_kill 15515adc4829SYanqin Li // a.bits.idx := RegEnable(get_idx(u.io.lsu.req.bits.vaddr), u.io.lsu.req.fire) 1552ffd3154dSCharlieLiu // a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache) 1553ffd3154dSCharlieLiu // } 15545adc4829SYanqin Li // st_access.valid := RegNext(mainPipe.io.store_req.fire) 15555adc4829SYanqin Li // st_access.bits.idx := RegEnable(get_idx(mainPipe.io.store_req.bits.vaddr), mainPipe.io.store_req.fire) 15565adc4829SYanqin Li // st_access.bits.tag := RegEnable(get_tag(mainPipe.io.store_req.bits.addr), mainPipe.io.store_req.fire) 1557ffd3154dSCharlieLiu // val access_info = ld_access.toSeq ++ Seq(st_access) 15585adc4829SYanqin Li // val early_replace = RegNext(missQueue.io.debug_early_replace) // TODO: clock gate 1559ffd3154dSCharlieLiu // val access_early_replace = access_info.map { 1560ffd3154dSCharlieLiu // case acc => 1561ffd3154dSCharlieLiu // Cat(early_replace.map { 1562ffd3154dSCharlieLiu // case r => 1563ffd3154dSCharlieLiu // acc.valid && r.valid && 1564ffd3154dSCharlieLiu // acc.bits.tag === r.bits.tag && 1565ffd3154dSCharlieLiu // acc.bits.idx === r.bits.idx 1566ffd3154dSCharlieLiu // }) 1567ffd3154dSCharlieLiu // } 1568ffd3154dSCharlieLiu // XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace))) 1569cd365d4cSrvcoresjw 15701ca0e4f3SYinan Xu val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents) 15711ca0e4f3SYinan Xu generatePerfEvent() 15721f0e2dc7SJiawei Lin} 15731f0e2dc7SJiawei Lin 15741f0e2dc7SJiawei Linclass AMOHelper() extends ExtModule { 15751f0e2dc7SJiawei Lin val clock = IO(Input(Clock())) 15761f0e2dc7SJiawei Lin val enable = IO(Input(Bool())) 15771f0e2dc7SJiawei Lin val cmd = IO(Input(UInt(5.W))) 15781f0e2dc7SJiawei Lin val addr = IO(Input(UInt(64.W))) 15791f0e2dc7SJiawei Lin val wdata = IO(Input(UInt(64.W))) 15801f0e2dc7SJiawei Lin val mask = IO(Input(UInt(8.W))) 15811f0e2dc7SJiawei Lin val rdata = IO(Output(UInt(64.W))) 15821f0e2dc7SJiawei Lin} 15831f0e2dc7SJiawei Lin 15844f94c0c6SJiawei Linclass DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 158595e60e55STang Haojin override def shouldBeInlined: Boolean = false 15861f0e2dc7SJiawei Lin 15874f94c0c6SJiawei Lin val useDcache = coreParams.dcacheParametersOpt.nonEmpty 15884f94c0c6SJiawei Lin val clientNode = if (useDcache) TLIdentityNode() else null 15894f94c0c6SJiawei Lin val dcache = if (useDcache) LazyModule(new DCache()) else null 15904f94c0c6SJiawei Lin if (useDcache) { 15911f0e2dc7SJiawei Lin clientNode := dcache.clientNode 15921f0e2dc7SJiawei Lin } 15931f0e2dc7SJiawei Lin 1594935edac4STang Haojin class DCacheWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents { 15951f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 15961ca0e4f3SYinan Xu val perfEvents = if (!useDcache) { 15974f94c0c6SJiawei Lin // a fake dcache which uses dpi-c to access memory, only for debug usage! 15981f0e2dc7SJiawei Lin val fake_dcache = Module(new FakeDCache()) 15991f0e2dc7SJiawei Lin io <> fake_dcache.io 16001ca0e4f3SYinan Xu Seq() 16011f0e2dc7SJiawei Lin } 16021f0e2dc7SJiawei Lin else { 16031f0e2dc7SJiawei Lin io <> dcache.module.io 16041ca0e4f3SYinan Xu dcache.module.getPerfEvents 16051f0e2dc7SJiawei Lin } 16061ca0e4f3SYinan Xu generatePerfEvent() 16071f0e2dc7SJiawei Lin } 1608935edac4STang Haojin 1609935edac4STang Haojin lazy val module = new DCacheWrapperImp(this) 16101f0e2dc7SJiawei Lin}