11f0e2dc7SJiawei Lin/*************************************************************************************** 21f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Peng Cheng Laboratory 41f0e2dc7SJiawei Lin* 51f0e2dc7SJiawei Lin* XiangShan is licensed under Mulan PSL v2. 61f0e2dc7SJiawei Lin* You can use this software according to the terms and conditions of the Mulan PSL v2. 71f0e2dc7SJiawei Lin* You may obtain a copy of Mulan PSL v2 at: 81f0e2dc7SJiawei Lin* http://license.coscl.org.cn/MulanPSL2 91f0e2dc7SJiawei Lin* 101f0e2dc7SJiawei Lin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111f0e2dc7SJiawei Lin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121f0e2dc7SJiawei Lin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131f0e2dc7SJiawei Lin* 141f0e2dc7SJiawei Lin* See the Mulan PSL v2 for more details. 151f0e2dc7SJiawei Lin***************************************************************************************/ 161f0e2dc7SJiawei Lin 171f0e2dc7SJiawei Linpackage xiangshan.cache 181f0e2dc7SJiawei Lin 191f0e2dc7SJiawei Linimport chipsalliance.rocketchip.config.Parameters 201f0e2dc7SJiawei Linimport chisel3._ 211f0e2dc7SJiawei Linimport chisel3.experimental.ExtModule 221f0e2dc7SJiawei Linimport chisel3.util._ 231f0e2dc7SJiawei Linimport xiangshan._ 241f0e2dc7SJiawei Linimport utils._ 251f0e2dc7SJiawei Linimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 261f0e2dc7SJiawei Linimport freechips.rocketchip.tilelink._ 271f0e2dc7SJiawei Linimport freechips.rocketchip.util.BundleFieldBase 281f0e2dc7SJiawei Linimport system.L1CacheErrorInfo 291f0e2dc7SJiawei Linimport device.RAMHelper 301f0e2dc7SJiawei Linimport huancun.{AliasField, AliasKey, PreferCacheField, PrefetchField, DirtyField} 311f0e2dc7SJiawei Lin 321f0e2dc7SJiawei Lin// DCache specific parameters 331f0e2dc7SJiawei Lincase class DCacheParameters 341f0e2dc7SJiawei Lin( 351f0e2dc7SJiawei Lin nSets: Int = 256, 361f0e2dc7SJiawei Lin nWays: Int = 8, 371f0e2dc7SJiawei Lin rowBits: Int = 128, 381f0e2dc7SJiawei Lin tagECC: Option[String] = None, 391f0e2dc7SJiawei Lin dataECC: Option[String] = None, 401f0e2dc7SJiawei Lin replacer: Option[String] = Some("random"), 411f0e2dc7SJiawei Lin nMissEntries: Int = 1, 421f0e2dc7SJiawei Lin nProbeEntries: Int = 1, 431f0e2dc7SJiawei Lin nReleaseEntries: Int = 1, 441f0e2dc7SJiawei Lin nStoreReplayEntries: Int = 1, 451f0e2dc7SJiawei Lin nMMIOEntries: Int = 1, 461f0e2dc7SJiawei Lin nMMIOs: Int = 1, 47fddcfe1fSwakafa blockBytes: Int = 64, 48fddcfe1fSwakafa alwaysReleaseData: Boolean = true 491f0e2dc7SJiawei Lin) extends L1CacheParameters { 501f0e2dc7SJiawei Lin // if sets * blockBytes > 4KB(page size), 511f0e2dc7SJiawei Lin // cache alias will happen, 521f0e2dc7SJiawei Lin // we need to avoid this by recoding additional bits in L2 cache 531f0e2dc7SJiawei Lin val setBytes = nSets * blockBytes 541f0e2dc7SJiawei Lin val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 551f0e2dc7SJiawei Lin val reqFields: Seq[BundleFieldBase] = Seq( 561f0e2dc7SJiawei Lin PrefetchField(), 571f0e2dc7SJiawei Lin PreferCacheField() 581f0e2dc7SJiawei Lin ) ++ aliasBitsOpt.map(AliasField) 591f0e2dc7SJiawei Lin val echoFields: Seq[BundleFieldBase] = Seq(DirtyField()) 601f0e2dc7SJiawei Lin 611f0e2dc7SJiawei Lin def tagCode: Code = Code.fromString(tagECC) 621f0e2dc7SJiawei Lin 631f0e2dc7SJiawei Lin def dataCode: Code = Code.fromString(dataECC) 641f0e2dc7SJiawei Lin} 651f0e2dc7SJiawei Lin 661f0e2dc7SJiawei Lin// Physical Address 671f0e2dc7SJiawei Lin// -------------------------------------- 681f0e2dc7SJiawei Lin// | Physical Tag | PIndex | Offset | 691f0e2dc7SJiawei Lin// -------------------------------------- 701f0e2dc7SJiawei Lin// | 711f0e2dc7SJiawei Lin// DCacheTagOffset 721f0e2dc7SJiawei Lin// 731f0e2dc7SJiawei Lin// Virtual Address 741f0e2dc7SJiawei Lin// -------------------------------------- 751f0e2dc7SJiawei Lin// | Above index | Set | Bank | Offset | 761f0e2dc7SJiawei Lin// -------------------------------------- 771f0e2dc7SJiawei Lin// | | | | 781f0e2dc7SJiawei Lin// | | | DCacheWordOffset 791f0e2dc7SJiawei Lin// | | DCacheBankOffset 801f0e2dc7SJiawei Lin// | DCacheSetOffset 811f0e2dc7SJiawei Lin// DCacheAboveIndexOffset 821f0e2dc7SJiawei Lin 831f0e2dc7SJiawei Lin// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 841f0e2dc7SJiawei Lin 851f0e2dc7SJiawei Lintrait HasDCacheParameters extends HasL1CacheParameters { 861f0e2dc7SJiawei Lin val cacheParams = dcacheParameters 871f0e2dc7SJiawei Lin val cfg = cacheParams 881f0e2dc7SJiawei Lin 891f0e2dc7SJiawei Lin def encWordBits = cacheParams.dataCode.width(wordBits) 901f0e2dc7SJiawei Lin 911f0e2dc7SJiawei Lin def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 921f0e2dc7SJiawei Lin def eccBits = encWordBits - wordBits 931f0e2dc7SJiawei Lin 941f0e2dc7SJiawei Lin def lrscCycles = LRSCCycles // ISA requires 16-insn LRSC sequences to succeed 951f0e2dc7SJiawei Lin def lrscBackoff = 3 // disallow LRSC reacquisition briefly 961f0e2dc7SJiawei Lin def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 971f0e2dc7SJiawei Lin 981f0e2dc7SJiawei Lin def nSourceType = 3 991f0e2dc7SJiawei Lin def sourceTypeWidth = log2Up(nSourceType) 1001f0e2dc7SJiawei Lin def LOAD_SOURCE = 0 1011f0e2dc7SJiawei Lin def STORE_SOURCE = 1 1021f0e2dc7SJiawei Lin def AMO_SOURCE = 2 1033f4ec46fSCODE-JTZ def SOFT_PREFETCH = 3 1041f0e2dc7SJiawei Lin 1051f0e2dc7SJiawei Lin // each source use a id to distinguish its multiple reqs 1061f0e2dc7SJiawei Lin def reqIdWidth = 64 1071f0e2dc7SJiawei Lin 1081f0e2dc7SJiawei Lin // banked dcache support 1091f0e2dc7SJiawei Lin val DCacheSets = cacheParams.nSets 1101f0e2dc7SJiawei Lin val DCacheWays = cacheParams.nWays 1111f0e2dc7SJiawei Lin val DCacheBanks = 8 1121f0e2dc7SJiawei Lin val DCacheSRAMRowBits = 64 // hardcoded 1131f0e2dc7SJiawei Lin 1141f0e2dc7SJiawei Lin val DCacheLineBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 1151f0e2dc7SJiawei Lin val DCacheLineBytes = DCacheLineBits / 8 1161f0e2dc7SJiawei Lin val DCacheLineWords = DCacheLineBits / 64 // TODO 1171f0e2dc7SJiawei Lin 1181f0e2dc7SJiawei Lin val DCacheSameVPAddrLength = 12 1191f0e2dc7SJiawei Lin 1201f0e2dc7SJiawei Lin val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 1211f0e2dc7SJiawei Lin val DCacheWordOffset = 0 1221f0e2dc7SJiawei Lin val DCacheBankOffset = DCacheWordOffset + log2Up(DCacheSRAMRowBytes) 1231f0e2dc7SJiawei Lin val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 1241f0e2dc7SJiawei Lin val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 1251f0e2dc7SJiawei Lin val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 1261f0e2dc7SJiawei Lin val DCacheIndexOffset = DCacheBankOffset 1271f0e2dc7SJiawei Lin 1281f0e2dc7SJiawei Lin def addr_to_dcache_bank(addr: UInt) = { 1291f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheSetOffset) 1301f0e2dc7SJiawei Lin addr(DCacheSetOffset-1, DCacheBankOffset) 1311f0e2dc7SJiawei Lin } 1321f0e2dc7SJiawei Lin 1331f0e2dc7SJiawei Lin def addr_to_dcache_set(addr: UInt) = { 1341f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheAboveIndexOffset) 1351f0e2dc7SJiawei Lin addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 1361f0e2dc7SJiawei Lin } 1371f0e2dc7SJiawei Lin 1381f0e2dc7SJiawei Lin def get_data_of_bank(bank: Int, data: UInt) = { 1391f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 1401f0e2dc7SJiawei Lin data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 1411f0e2dc7SJiawei Lin } 1421f0e2dc7SJiawei Lin 1431f0e2dc7SJiawei Lin def get_mask_of_bank(bank: Int, data: UInt) = { 1441f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 1451f0e2dc7SJiawei Lin data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 1461f0e2dc7SJiawei Lin } 1471f0e2dc7SJiawei Lin 1481f0e2dc7SJiawei Lin require(isPow2(nSets), s"nSets($nSets) must be pow2") 1491f0e2dc7SJiawei Lin require(isPow2(nWays), s"nWays($nWays) must be pow2") 1501f0e2dc7SJiawei Lin require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 1511f0e2dc7SJiawei Lin require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 1521f0e2dc7SJiawei Lin} 1531f0e2dc7SJiawei Lin 1541f0e2dc7SJiawei Linabstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 1551f0e2dc7SJiawei Lin with HasDCacheParameters 1561f0e2dc7SJiawei Lin 1571f0e2dc7SJiawei Linabstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 1581f0e2dc7SJiawei Lin with HasDCacheParameters 1591f0e2dc7SJiawei Lin 1601f0e2dc7SJiawei Linclass ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 1611f0e2dc7SJiawei Lin val set = UInt(log2Up(nSets).W) 1621f0e2dc7SJiawei Lin val way = UInt(log2Up(nWays).W) 1631f0e2dc7SJiawei Lin} 1641f0e2dc7SJiawei Lin 1651f0e2dc7SJiawei Lin// memory request in word granularity(load, mmio, lr/sc, atomics) 1661f0e2dc7SJiawei Linclass DCacheWordReq(implicit p: Parameters) extends DCacheBundle 1671f0e2dc7SJiawei Lin{ 1681f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 1691f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 1701f0e2dc7SJiawei Lin val data = UInt(DataBits.W) 1711f0e2dc7SJiawei Lin val mask = UInt((DataBits/8).W) 1721f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 1733f4ec46fSCODE-JTZ val instrtype = UInt(sourceTypeWidth.W) 1741f0e2dc7SJiawei Lin def dump() = { 1751f0e2dc7SJiawei Lin XSDebug("DCacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 1761f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 1771f0e2dc7SJiawei Lin } 1781f0e2dc7SJiawei Lin} 1791f0e2dc7SJiawei Lin 1801f0e2dc7SJiawei Lin// memory request in word granularity(store) 1811f0e2dc7SJiawei Linclass DCacheLineReq(implicit p: Parameters) extends DCacheBundle 1821f0e2dc7SJiawei Lin{ 1831f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 1841f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 1851f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 1861f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 1871f0e2dc7SJiawei Lin val mask = UInt(cfg.blockBytes.W) 1881f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 1891f0e2dc7SJiawei Lin def dump() = { 1901f0e2dc7SJiawei Lin XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 1911f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 1921f0e2dc7SJiawei Lin } 1931f0e2dc7SJiawei Lin} 1941f0e2dc7SJiawei Lin 1951f0e2dc7SJiawei Linclass DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 1961f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 1971f0e2dc7SJiawei Lin} 1981f0e2dc7SJiawei Lin 1991f0e2dc7SJiawei Linclass DCacheWordResp(implicit p: Parameters) extends DCacheBundle 2001f0e2dc7SJiawei Lin{ 2011f0e2dc7SJiawei Lin val data = UInt(DataBits.W) 2021f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 2031f0e2dc7SJiawei Lin val miss = Bool() 2041f0e2dc7SJiawei Lin // cache req nacked, replay it later 2053f4ec46fSCODE-JTZ val miss_enter = Bool() 2063f4ec46fSCODE-JTZ // cache miss, and enter the missqueue successfully. just for softprefetch 2071f0e2dc7SJiawei Lin val replay = Bool() 2081f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 2091f0e2dc7SJiawei Lin def dump() = { 2101f0e2dc7SJiawei Lin XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 2111f0e2dc7SJiawei Lin data, id, miss, replay) 2121f0e2dc7SJiawei Lin } 2131f0e2dc7SJiawei Lin} 2141f0e2dc7SJiawei Lin 2151f0e2dc7SJiawei Linclass DCacheLineResp(implicit p: Parameters) extends DCacheBundle 2161f0e2dc7SJiawei Lin{ 2171f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 2181f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 2191f0e2dc7SJiawei Lin val miss = Bool() 2201f0e2dc7SJiawei Lin // cache req nacked, replay it later 2211f0e2dc7SJiawei Lin val replay = Bool() 2221f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 2231f0e2dc7SJiawei Lin def dump() = { 2241f0e2dc7SJiawei Lin XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 2251f0e2dc7SJiawei Lin data, id, miss, replay) 2261f0e2dc7SJiawei Lin } 2271f0e2dc7SJiawei Lin} 2281f0e2dc7SJiawei Lin 2291f0e2dc7SJiawei Linclass Refill(implicit p: Parameters) extends DCacheBundle 2301f0e2dc7SJiawei Lin{ 2311f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 2321f0e2dc7SJiawei Lin val data = UInt(l1BusDataWidth.W) 2331f0e2dc7SJiawei Lin // for debug usage 2341f0e2dc7SJiawei Lin val data_raw = UInt((cfg.blockBytes * 8).W) 2351f0e2dc7SJiawei Lin val hasdata = Bool() 2361f0e2dc7SJiawei Lin val refill_done = Bool() 2371f0e2dc7SJiawei Lin def dump() = { 2381f0e2dc7SJiawei Lin XSDebug("Refill: addr: %x data: %x\n", addr, data) 2391f0e2dc7SJiawei Lin } 2401f0e2dc7SJiawei Lin} 2411f0e2dc7SJiawei Lin 2421f0e2dc7SJiawei Linclass DCacheWordIO(implicit p: Parameters) extends DCacheBundle 2431f0e2dc7SJiawei Lin{ 2441f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReq) 2451f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheWordResp)) 2461f0e2dc7SJiawei Lin} 2471f0e2dc7SJiawei Lin 2481f0e2dc7SJiawei Linclass DCacheWordIOWithVaddr(implicit p: Parameters) extends DCacheBundle 2491f0e2dc7SJiawei Lin{ 2501f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReqWithVaddr) 2511f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheWordResp)) 2521f0e2dc7SJiawei Lin} 2531f0e2dc7SJiawei Lin 2541f0e2dc7SJiawei Lin// used by load unit 2551f0e2dc7SJiawei Linclass DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 2561f0e2dc7SJiawei Lin{ 2571f0e2dc7SJiawei Lin // kill previous cycle's req 2581f0e2dc7SJiawei Lin val s1_kill = Output(Bool()) 259*b6982e83SLemover val s2_kill = Output(Bool()) 2601f0e2dc7SJiawei Lin // cycle 0: virtual address: req.addr 2611f0e2dc7SJiawei Lin // cycle 1: physical address: s1_paddr 2621f0e2dc7SJiawei Lin val s1_paddr = Output(UInt(PAddrBits.W)) 2631f0e2dc7SJiawei Lin val s1_hit_way = Input(UInt(nWays.W)) 2641f0e2dc7SJiawei Lin val s1_disable_fast_wakeup = Input(Bool()) 265d87b76aaSWilliam Wang val s1_bank_conflict = Input(Bool()) 2661f0e2dc7SJiawei Lin} 2671f0e2dc7SJiawei Lin 2681f0e2dc7SJiawei Linclass DCacheLineIO(implicit p: Parameters) extends DCacheBundle 2691f0e2dc7SJiawei Lin{ 2701f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheLineReq) 2711f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheLineResp)) 2721f0e2dc7SJiawei Lin} 2731f0e2dc7SJiawei Lin 2741f0e2dc7SJiawei Linclass DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 2751f0e2dc7SJiawei Lin val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 2761f0e2dc7SJiawei Lin val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 2771f0e2dc7SJiawei Lin val store = Flipped(new DCacheLineIO) // for sbuffer 2781f0e2dc7SJiawei Lin val atomics = Flipped(new DCacheWordIOWithVaddr) // atomics reqs 2791f0e2dc7SJiawei Lin} 2801f0e2dc7SJiawei Lin 2811f0e2dc7SJiawei Linclass DCacheIO(implicit p: Parameters) extends DCacheBundle { 2821f0e2dc7SJiawei Lin val lsu = new DCacheToLsuIO 2831f0e2dc7SJiawei Lin val error = new L1CacheErrorInfo 2841f0e2dc7SJiawei Lin val mshrFull = Output(Bool()) 2851f0e2dc7SJiawei Lin} 2861f0e2dc7SJiawei Lin 2871f0e2dc7SJiawei Lin 2881f0e2dc7SJiawei Linclass DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 2891f0e2dc7SJiawei Lin 2901f0e2dc7SJiawei Lin val clientParameters = TLMasterPortParameters.v1( 2911f0e2dc7SJiawei Lin Seq(TLMasterParameters.v1( 2921f0e2dc7SJiawei Lin name = "dcache", 2931f0e2dc7SJiawei Lin sourceId = IdRange(0, cfg.nMissEntries+1), 2941f0e2dc7SJiawei Lin supportsProbe = TransferSizes(cfg.blockBytes) 2951f0e2dc7SJiawei Lin )), 2961f0e2dc7SJiawei Lin requestFields = cacheParams.reqFields, 2971f0e2dc7SJiawei Lin echoFields = cacheParams.echoFields 2981f0e2dc7SJiawei Lin ) 2991f0e2dc7SJiawei Lin 3001f0e2dc7SJiawei Lin val clientNode = TLClientNode(Seq(clientParameters)) 3011f0e2dc7SJiawei Lin 3021f0e2dc7SJiawei Lin lazy val module = new DCacheImp(this) 3031f0e2dc7SJiawei Lin} 3041f0e2dc7SJiawei Lin 3051f0e2dc7SJiawei Lin 3061f0e2dc7SJiawei Linclass DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters { 3071f0e2dc7SJiawei Lin 3081f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 3091f0e2dc7SJiawei Lin 3101f0e2dc7SJiawei Lin val (bus, edge) = outer.clientNode.out.head 3111f0e2dc7SJiawei Lin require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 3121f0e2dc7SJiawei Lin 3131f0e2dc7SJiawei Lin println("DCache:") 3141f0e2dc7SJiawei Lin println(" DCacheSets: " + DCacheSets) 3151f0e2dc7SJiawei Lin println(" DCacheWays: " + DCacheWays) 3161f0e2dc7SJiawei Lin println(" DCacheBanks: " + DCacheBanks) 3171f0e2dc7SJiawei Lin println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 3181f0e2dc7SJiawei Lin println(" DCacheWordOffset: " + DCacheWordOffset) 3191f0e2dc7SJiawei Lin println(" DCacheBankOffset: " + DCacheBankOffset) 3201f0e2dc7SJiawei Lin println(" DCacheSetOffset: " + DCacheSetOffset) 3211f0e2dc7SJiawei Lin println(" DCacheTagOffset: " + DCacheTagOffset) 3221f0e2dc7SJiawei Lin println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 3231f0e2dc7SJiawei Lin 3241f0e2dc7SJiawei Lin //---------------------------------------- 3251f0e2dc7SJiawei Lin // core data structures 3261f0e2dc7SJiawei Lin val bankedDataArray = Module(new BankedDataArray) 3271f0e2dc7SJiawei Lin val metaArray = Module(new DuplicatedMetaArray(numReadPorts = 3)) 3281f0e2dc7SJiawei Lin bankedDataArray.dump() 3291f0e2dc7SJiawei Lin 3301f0e2dc7SJiawei Lin val errors = bankedDataArray.io.errors ++ metaArray.io.errors 3311f0e2dc7SJiawei Lin io.error <> RegNext(Mux1H(errors.map(e => e.ecc_error.valid -> e))) 3321f0e2dc7SJiawei Lin // assert(!io.error.ecc_error.valid) 3331f0e2dc7SJiawei Lin 3341f0e2dc7SJiawei Lin //---------------------------------------- 3351f0e2dc7SJiawei Lin // core modules 3361f0e2dc7SJiawei Lin val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 3371f0e2dc7SJiawei Lin val storeReplayUnit = Module(new StoreReplayQueue) 3381f0e2dc7SJiawei Lin val atomicsReplayUnit = Module(new AtomicsReplayEntry) 3391f0e2dc7SJiawei Lin 3401f0e2dc7SJiawei Lin val mainPipe = Module(new MainPipe) 3411f0e2dc7SJiawei Lin val missQueue = Module(new MissQueue(edge)) 3421f0e2dc7SJiawei Lin val probeQueue = Module(new ProbeQueue(edge)) 3431f0e2dc7SJiawei Lin val wb = Module(new WritebackQueue(edge)) 3441f0e2dc7SJiawei Lin 3451f0e2dc7SJiawei Lin 3461f0e2dc7SJiawei Lin //---------------------------------------- 3471f0e2dc7SJiawei Lin // meta array 3481f0e2dc7SJiawei Lin val MetaWritePortCount = 1 3491f0e2dc7SJiawei Lin val MainPipeMetaWritePort = 0 3501f0e2dc7SJiawei Lin metaArray.io.write <> mainPipe.io.meta_write 3511f0e2dc7SJiawei Lin 3521f0e2dc7SJiawei Lin // MainPipe contend MetaRead with Load 0 3531f0e2dc7SJiawei Lin // give priority to MainPipe 3541f0e2dc7SJiawei Lin val MetaReadPortCount = 2 3551f0e2dc7SJiawei Lin val MainPipeMetaReadPort = 0 3561f0e2dc7SJiawei Lin val LoadPipeMetaReadPort = 1 3571f0e2dc7SJiawei Lin 3581f0e2dc7SJiawei Lin metaArray.io.read(LoadPipelineWidth) <> mainPipe.io.meta_read 3591f0e2dc7SJiawei Lin mainPipe.io.meta_resp <> metaArray.io.resp(LoadPipelineWidth) 3601f0e2dc7SJiawei Lin 3611f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { 3621f0e2dc7SJiawei Lin metaArray.io.read(w) <> ldu(w).io.meta_read 3631f0e2dc7SJiawei Lin ldu(w).io.meta_resp <> metaArray.io.resp(w) 3641f0e2dc7SJiawei Lin } 3651f0e2dc7SJiawei Lin 3661f0e2dc7SJiawei Lin //---------------------------------------- 3671f0e2dc7SJiawei Lin // data array 3681f0e2dc7SJiawei Lin 3691f0e2dc7SJiawei Lin bankedDataArray.io.write <> mainPipe.io.banked_data_write 3701f0e2dc7SJiawei Lin bankedDataArray.io.read(0) <> ldu(0).io.banked_data_read 3711f0e2dc7SJiawei Lin bankedDataArray.io.read(1) <> ldu(1).io.banked_data_read 3721f0e2dc7SJiawei Lin bankedDataArray.io.readline <> mainPipe.io.banked_data_read 3731f0e2dc7SJiawei Lin 3741f0e2dc7SJiawei Lin ldu(0).io.banked_data_resp := bankedDataArray.io.resp 3751f0e2dc7SJiawei Lin ldu(1).io.banked_data_resp := bankedDataArray.io.resp 3761f0e2dc7SJiawei Lin mainPipe.io.banked_data_resp := bankedDataArray.io.resp 3771f0e2dc7SJiawei Lin 3781f0e2dc7SJiawei Lin ldu(0).io.bank_conflict_fast := bankedDataArray.io.bank_conflict_fast(0) 3791f0e2dc7SJiawei Lin ldu(1).io.bank_conflict_fast := bankedDataArray.io.bank_conflict_fast(1) 3801f0e2dc7SJiawei Lin ldu(0).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(0) 3811f0e2dc7SJiawei Lin ldu(1).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(1) 3821f0e2dc7SJiawei Lin 3831f0e2dc7SJiawei Lin //---------------------------------------- 3841f0e2dc7SJiawei Lin // load pipe 3851f0e2dc7SJiawei Lin // the s1 kill signal 3861f0e2dc7SJiawei Lin // only lsu uses this, replay never kills 3871f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { 3881f0e2dc7SJiawei Lin ldu(w).io.lsu <> io.lsu.load(w) 3891f0e2dc7SJiawei Lin 3901f0e2dc7SJiawei Lin // replay and nack not needed anymore 3911f0e2dc7SJiawei Lin // TODO: remove replay and nack 3921f0e2dc7SJiawei Lin ldu(w).io.nack := false.B 3931f0e2dc7SJiawei Lin 3941f0e2dc7SJiawei Lin ldu(w).io.disable_ld_fast_wakeup := 3951f0e2dc7SJiawei Lin mainPipe.io.disable_ld_fast_wakeup(w) || 3961f0e2dc7SJiawei Lin bankedDataArray.io.bank_conflict_fast(w) // load pipe fast wake up should be disabled when bank conflict 3971f0e2dc7SJiawei Lin } 3981f0e2dc7SJiawei Lin 3991f0e2dc7SJiawei Lin //---------------------------------------- 4001f0e2dc7SJiawei Lin // store pipe and store miss queue 4011f0e2dc7SJiawei Lin storeReplayUnit.io.lsu <> io.lsu.store 4021f0e2dc7SJiawei Lin 4031f0e2dc7SJiawei Lin //---------------------------------------- 4041f0e2dc7SJiawei Lin // atomics 4051f0e2dc7SJiawei Lin // atomics not finished yet 4061f0e2dc7SJiawei Lin io.lsu.atomics <> atomicsReplayUnit.io.lsu 4071f0e2dc7SJiawei Lin 4081f0e2dc7SJiawei Lin //---------------------------------------- 4091f0e2dc7SJiawei Lin // miss queue 4101f0e2dc7SJiawei Lin val MissReqPortCount = LoadPipelineWidth + 1 4111f0e2dc7SJiawei Lin val MainPipeMissReqPort = 0 4121f0e2dc7SJiawei Lin 4131f0e2dc7SJiawei Lin // Request 4141f0e2dc7SJiawei Lin val missReqArb = Module(new RRArbiter(new MissReq, MissReqPortCount)) 4151f0e2dc7SJiawei Lin 4161f0e2dc7SJiawei Lin missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 4171f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req } 4181f0e2dc7SJiawei Lin 4191f0e2dc7SJiawei Lin wb.io.miss_req.valid := missReqArb.io.out.valid 4201f0e2dc7SJiawei Lin wb.io.miss_req.bits := missReqArb.io.out.bits.addr 4211f0e2dc7SJiawei Lin 4221f0e2dc7SJiawei Lin block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req) 4231f0e2dc7SJiawei Lin 4241f0e2dc7SJiawei Lin // refill to load queue 4251f0e2dc7SJiawei Lin io.lsu.lsq <> missQueue.io.refill 4261f0e2dc7SJiawei Lin 4271f0e2dc7SJiawei Lin // tilelink stuff 4281f0e2dc7SJiawei Lin bus.a <> missQueue.io.mem_acquire 4291f0e2dc7SJiawei Lin bus.e <> missQueue.io.mem_finish 4301f0e2dc7SJiawei Lin missQueue.io.probe_req := bus.b.bits.address 4311f0e2dc7SJiawei Lin 4321f0e2dc7SJiawei Lin //---------------------------------------- 4331f0e2dc7SJiawei Lin // probe 4341f0e2dc7SJiawei Lin // probeQueue.io.mem_probe <> bus.b 4351f0e2dc7SJiawei Lin block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 4361f0e2dc7SJiawei Lin 4371f0e2dc7SJiawei Lin //---------------------------------------- 4381f0e2dc7SJiawei Lin // mainPipe 4391f0e2dc7SJiawei Lin val MainPipeReqPortCount = 4 4401f0e2dc7SJiawei Lin val MissMainPipeReqPort = 0 4411f0e2dc7SJiawei Lin val StoreMainPipeReqPort = 1 4421f0e2dc7SJiawei Lin val AtomicsMainPipeReqPort = 2 4431f0e2dc7SJiawei Lin val ProbeMainPipeReqPort = 3 4441f0e2dc7SJiawei Lin 4451f0e2dc7SJiawei Lin val mainPipeReqArb = Module(new RRArbiter(new MainPipeReq, MainPipeReqPortCount)) 4461f0e2dc7SJiawei Lin mainPipeReqArb.io.in(MissMainPipeReqPort) <> missQueue.io.pipe_req 4471f0e2dc7SJiawei Lin mainPipeReqArb.io.in(StoreMainPipeReqPort) <> storeReplayUnit.io.pipe_req 4481f0e2dc7SJiawei Lin mainPipeReqArb.io.in(AtomicsMainPipeReqPort) <> atomicsReplayUnit.io.pipe_req 4491f0e2dc7SJiawei Lin mainPipeReqArb.io.in(ProbeMainPipeReqPort) <> probeQueue.io.pipe_req 4501f0e2dc7SJiawei Lin 4511f0e2dc7SJiawei Lin // add a stage to break the Arbiter bits.addr to ready path 4521f0e2dc7SJiawei Lin val mainPipeReq_valid = RegInit(false.B) 4531f0e2dc7SJiawei Lin val mainPipeReq_fire = mainPipeReq_valid && mainPipe.io.req.ready 4541f0e2dc7SJiawei Lin val mainPipeReq_req = RegEnable(mainPipeReqArb.io.out.bits, mainPipeReqArb.io.out.fire()) 4551f0e2dc7SJiawei Lin 4561f0e2dc7SJiawei Lin mainPipeReqArb.io.out.ready := mainPipeReq_fire || !mainPipeReq_valid 4571f0e2dc7SJiawei Lin mainPipe.io.req.valid := mainPipeReq_valid 4581f0e2dc7SJiawei Lin mainPipe.io.req.bits := mainPipeReq_req 4591f0e2dc7SJiawei Lin 4601f0e2dc7SJiawei Lin when (mainPipeReqArb.io.out.fire()) { mainPipeReq_valid := true.B } 4611f0e2dc7SJiawei Lin when (!mainPipeReqArb.io.out.fire() && mainPipeReq_fire) { mainPipeReq_valid := false.B } 4621f0e2dc7SJiawei Lin 4631f0e2dc7SJiawei Lin missQueue.io.pipe_resp <> mainPipe.io.miss_resp 4641f0e2dc7SJiawei Lin storeReplayUnit.io.pipe_resp <> mainPipe.io.store_resp 4651f0e2dc7SJiawei Lin atomicsReplayUnit.io.pipe_resp <> mainPipe.io.amo_resp 4661f0e2dc7SJiawei Lin 4671f0e2dc7SJiawei Lin probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 4681f0e2dc7SJiawei Lin 4691f0e2dc7SJiawei Lin for(i <- 0 until LoadPipelineWidth) { 4701f0e2dc7SJiawei Lin mainPipe.io.replace_access(i) <> ldu(i).io.replace_access 4711f0e2dc7SJiawei Lin } 4721f0e2dc7SJiawei Lin 4731f0e2dc7SJiawei Lin //---------------------------------------- 4741f0e2dc7SJiawei Lin // wb 4751f0e2dc7SJiawei Lin // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 4761f0e2dc7SJiawei Lin wb.io.req <> mainPipe.io.wb_req 4771f0e2dc7SJiawei Lin bus.c <> wb.io.mem_release 4781f0e2dc7SJiawei Lin 4791f0e2dc7SJiawei Lin // connect bus d 4801f0e2dc7SJiawei Lin missQueue.io.mem_grant.valid := false.B 4811f0e2dc7SJiawei Lin missQueue.io.mem_grant.bits := DontCare 4821f0e2dc7SJiawei Lin 4831f0e2dc7SJiawei Lin wb.io.mem_grant.valid := false.B 4841f0e2dc7SJiawei Lin wb.io.mem_grant.bits := DontCare 4851f0e2dc7SJiawei Lin 4861f0e2dc7SJiawei Lin // in L1DCache, we ony expect Grant[Data] and ReleaseAck 4871f0e2dc7SJiawei Lin bus.d.ready := false.B 4881f0e2dc7SJiawei Lin when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) { 4891f0e2dc7SJiawei Lin missQueue.io.mem_grant <> bus.d 4901f0e2dc7SJiawei Lin } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 4911f0e2dc7SJiawei Lin wb.io.mem_grant <> bus.d 4921f0e2dc7SJiawei Lin } .otherwise { 4931f0e2dc7SJiawei Lin assert (!bus.d.fire()) 4941f0e2dc7SJiawei Lin } 4951f0e2dc7SJiawei Lin 4961f0e2dc7SJiawei Lin //---------------------------------------- 4971f0e2dc7SJiawei Lin // assertions 4981f0e2dc7SJiawei Lin // dcache should only deal with DRAM addresses 4991f0e2dc7SJiawei Lin when (bus.a.fire()) { 5001f0e2dc7SJiawei Lin assert(bus.a.bits.address >= 0x80000000L.U) 5011f0e2dc7SJiawei Lin } 5021f0e2dc7SJiawei Lin when (bus.b.fire()) { 5031f0e2dc7SJiawei Lin assert(bus.b.bits.address >= 0x80000000L.U) 5041f0e2dc7SJiawei Lin } 5051f0e2dc7SJiawei Lin when (bus.c.fire()) { 5061f0e2dc7SJiawei Lin assert(bus.c.bits.address >= 0x80000000L.U) 5071f0e2dc7SJiawei Lin } 5081f0e2dc7SJiawei Lin 5091f0e2dc7SJiawei Lin //---------------------------------------- 5101f0e2dc7SJiawei Lin // utility functions 5111f0e2dc7SJiawei Lin def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 5121f0e2dc7SJiawei Lin sink.valid := source.valid && !block_signal 5131f0e2dc7SJiawei Lin source.ready := sink.ready && !block_signal 5141f0e2dc7SJiawei Lin sink.bits := source.bits 5151f0e2dc7SJiawei Lin } 5161f0e2dc7SJiawei Lin 5171f0e2dc7SJiawei Lin //---------------------------------------- 5181f0e2dc7SJiawei Lin // performance counters 5191f0e2dc7SJiawei Lin val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire())) 5201f0e2dc7SJiawei Lin XSPerfAccumulate("num_loads", num_loads) 5211f0e2dc7SJiawei Lin 5221f0e2dc7SJiawei Lin io.mshrFull := missQueue.io.full 5231f0e2dc7SJiawei Lin} 5241f0e2dc7SJiawei Lin 5251f0e2dc7SJiawei Linclass AMOHelper() extends ExtModule { 5261f0e2dc7SJiawei Lin val clock = IO(Input(Clock())) 5271f0e2dc7SJiawei Lin val enable = IO(Input(Bool())) 5281f0e2dc7SJiawei Lin val cmd = IO(Input(UInt(5.W))) 5291f0e2dc7SJiawei Lin val addr = IO(Input(UInt(64.W))) 5301f0e2dc7SJiawei Lin val wdata = IO(Input(UInt(64.W))) 5311f0e2dc7SJiawei Lin val mask = IO(Input(UInt(8.W))) 5321f0e2dc7SJiawei Lin val rdata = IO(Output(UInt(64.W))) 5331f0e2dc7SJiawei Lin} 5341f0e2dc7SJiawei Lin 5351f0e2dc7SJiawei Lin 5364f94c0c6SJiawei Linclass DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 5371f0e2dc7SJiawei Lin 5384f94c0c6SJiawei Lin val useDcache = coreParams.dcacheParametersOpt.nonEmpty 5394f94c0c6SJiawei Lin val clientNode = if (useDcache) TLIdentityNode() else null 5404f94c0c6SJiawei Lin val dcache = if (useDcache) LazyModule(new DCache()) else null 5414f94c0c6SJiawei Lin if (useDcache) { 5421f0e2dc7SJiawei Lin clientNode := dcache.clientNode 5431f0e2dc7SJiawei Lin } 5441f0e2dc7SJiawei Lin 5451f0e2dc7SJiawei Lin lazy val module = new LazyModuleImp(this) { 5461f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 5474f94c0c6SJiawei Lin if (!useDcache) { 5484f94c0c6SJiawei Lin // a fake dcache which uses dpi-c to access memory, only for debug usage! 5491f0e2dc7SJiawei Lin val fake_dcache = Module(new FakeDCache()) 5501f0e2dc7SJiawei Lin io <> fake_dcache.io 5511f0e2dc7SJiawei Lin } 5521f0e2dc7SJiawei Lin else { 5531f0e2dc7SJiawei Lin io <> dcache.module.io 5541f0e2dc7SJiawei Lin } 5551f0e2dc7SJiawei Lin } 5561f0e2dc7SJiawei Lin} 557