11f0e2dc7SJiawei Lin/*************************************************************************************** 21f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Peng Cheng Laboratory 41f0e2dc7SJiawei Lin* 51f0e2dc7SJiawei Lin* XiangShan is licensed under Mulan PSL v2. 61f0e2dc7SJiawei Lin* You can use this software according to the terms and conditions of the Mulan PSL v2. 71f0e2dc7SJiawei Lin* You may obtain a copy of Mulan PSL v2 at: 81f0e2dc7SJiawei Lin* http://license.coscl.org.cn/MulanPSL2 91f0e2dc7SJiawei Lin* 101f0e2dc7SJiawei Lin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111f0e2dc7SJiawei Lin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121f0e2dc7SJiawei Lin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131f0e2dc7SJiawei Lin* 141f0e2dc7SJiawei Lin* See the Mulan PSL v2 for more details. 151f0e2dc7SJiawei Lin***************************************************************************************/ 161f0e2dc7SJiawei Lin 171f0e2dc7SJiawei Linpackage xiangshan.cache 181f0e2dc7SJiawei Lin 191f0e2dc7SJiawei Linimport chipsalliance.rocketchip.config.Parameters 201f0e2dc7SJiawei Linimport chisel3._ 211f0e2dc7SJiawei Linimport chisel3.experimental.ExtModule 221f0e2dc7SJiawei Linimport chisel3.util._ 231f0e2dc7SJiawei Linimport xiangshan._ 241f0e2dc7SJiawei Linimport utils._ 251f0e2dc7SJiawei Linimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 261f0e2dc7SJiawei Linimport freechips.rocketchip.tilelink._ 271f0e2dc7SJiawei Linimport freechips.rocketchip.util.BundleFieldBase 281f0e2dc7SJiawei Linimport device.RAMHelper 291f0e2dc7SJiawei Linimport huancun.{AliasField, AliasKey, PreferCacheField, PrefetchField, DirtyField} 30*ad3ba452Szhanglinjuanimport scala.math.max 311f0e2dc7SJiawei Lin 321f0e2dc7SJiawei Lin// DCache specific parameters 331f0e2dc7SJiawei Lincase class DCacheParameters 341f0e2dc7SJiawei Lin( 351f0e2dc7SJiawei Lin nSets: Int = 256, 361f0e2dc7SJiawei Lin nWays: Int = 8, 371f0e2dc7SJiawei Lin rowBits: Int = 128, 381f0e2dc7SJiawei Lin tagECC: Option[String] = None, 391f0e2dc7SJiawei Lin dataECC: Option[String] = None, 401f0e2dc7SJiawei Lin replacer: Option[String] = Some("random"), 411f0e2dc7SJiawei Lin nMissEntries: Int = 1, 421f0e2dc7SJiawei Lin nProbeEntries: Int = 1, 431f0e2dc7SJiawei Lin nReleaseEntries: Int = 1, 441f0e2dc7SJiawei Lin nMMIOEntries: Int = 1, 451f0e2dc7SJiawei Lin nMMIOs: Int = 1, 46fddcfe1fSwakafa blockBytes: Int = 64, 47fddcfe1fSwakafa alwaysReleaseData: Boolean = true 481f0e2dc7SJiawei Lin) extends L1CacheParameters { 491f0e2dc7SJiawei Lin // if sets * blockBytes > 4KB(page size), 501f0e2dc7SJiawei Lin // cache alias will happen, 511f0e2dc7SJiawei Lin // we need to avoid this by recoding additional bits in L2 cache 521f0e2dc7SJiawei Lin val setBytes = nSets * blockBytes 531f0e2dc7SJiawei Lin val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 541f0e2dc7SJiawei Lin val reqFields: Seq[BundleFieldBase] = Seq( 551f0e2dc7SJiawei Lin PrefetchField(), 561f0e2dc7SJiawei Lin PreferCacheField() 571f0e2dc7SJiawei Lin ) ++ aliasBitsOpt.map(AliasField) 581f0e2dc7SJiawei Lin val echoFields: Seq[BundleFieldBase] = Seq(DirtyField()) 591f0e2dc7SJiawei Lin 601f0e2dc7SJiawei Lin def tagCode: Code = Code.fromString(tagECC) 611f0e2dc7SJiawei Lin 621f0e2dc7SJiawei Lin def dataCode: Code = Code.fromString(dataECC) 631f0e2dc7SJiawei Lin} 641f0e2dc7SJiawei Lin 651f0e2dc7SJiawei Lin// Physical Address 661f0e2dc7SJiawei Lin// -------------------------------------- 671f0e2dc7SJiawei Lin// | Physical Tag | PIndex | Offset | 681f0e2dc7SJiawei Lin// -------------------------------------- 691f0e2dc7SJiawei Lin// | 701f0e2dc7SJiawei Lin// DCacheTagOffset 711f0e2dc7SJiawei Lin// 721f0e2dc7SJiawei Lin// Virtual Address 731f0e2dc7SJiawei Lin// -------------------------------------- 741f0e2dc7SJiawei Lin// | Above index | Set | Bank | Offset | 751f0e2dc7SJiawei Lin// -------------------------------------- 761f0e2dc7SJiawei Lin// | | | | 771f0e2dc7SJiawei Lin// | | | DCacheWordOffset 781f0e2dc7SJiawei Lin// | | DCacheBankOffset 791f0e2dc7SJiawei Lin// | DCacheSetOffset 801f0e2dc7SJiawei Lin// DCacheAboveIndexOffset 811f0e2dc7SJiawei Lin 821f0e2dc7SJiawei Lin// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 831f0e2dc7SJiawei Lin 841f0e2dc7SJiawei Lintrait HasDCacheParameters extends HasL1CacheParameters { 851f0e2dc7SJiawei Lin val cacheParams = dcacheParameters 861f0e2dc7SJiawei Lin val cfg = cacheParams 871f0e2dc7SJiawei Lin 881f0e2dc7SJiawei Lin def encWordBits = cacheParams.dataCode.width(wordBits) 891f0e2dc7SJiawei Lin 901f0e2dc7SJiawei Lin def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 911f0e2dc7SJiawei Lin def eccBits = encWordBits - wordBits 921f0e2dc7SJiawei Lin 931f0e2dc7SJiawei Lin def lrscCycles = LRSCCycles // ISA requires 16-insn LRSC sequences to succeed 941f0e2dc7SJiawei Lin def lrscBackoff = 3 // disallow LRSC reacquisition briefly 951f0e2dc7SJiawei Lin def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 961f0e2dc7SJiawei Lin 971f0e2dc7SJiawei Lin def nSourceType = 3 981f0e2dc7SJiawei Lin def sourceTypeWidth = log2Up(nSourceType) 991f0e2dc7SJiawei Lin def LOAD_SOURCE = 0 1001f0e2dc7SJiawei Lin def STORE_SOURCE = 1 1011f0e2dc7SJiawei Lin def AMO_SOURCE = 2 1023f4ec46fSCODE-JTZ def SOFT_PREFETCH = 3 1031f0e2dc7SJiawei Lin 1041f0e2dc7SJiawei Lin // each source use a id to distinguish its multiple reqs 1051f0e2dc7SJiawei Lin def reqIdWidth = 64 1061f0e2dc7SJiawei Lin 107*ad3ba452Szhanglinjuan require(isPow2(cfg.nMissEntries)) 108*ad3ba452Szhanglinjuan require(isPow2(cfg.nReleaseEntries)) 109*ad3ba452Szhanglinjuan val nEntries = max(cfg.nMissEntries, cfg.nReleaseEntries) << 1 110*ad3ba452Szhanglinjuan val releaseIdBase = max(cfg.nMissEntries, cfg.nReleaseEntries) 111*ad3ba452Szhanglinjuan 1121f0e2dc7SJiawei Lin // banked dcache support 1131f0e2dc7SJiawei Lin val DCacheSets = cacheParams.nSets 1141f0e2dc7SJiawei Lin val DCacheWays = cacheParams.nWays 1151f0e2dc7SJiawei Lin val DCacheBanks = 8 1161f0e2dc7SJiawei Lin val DCacheSRAMRowBits = 64 // hardcoded 1171f0e2dc7SJiawei Lin 1181f0e2dc7SJiawei Lin val DCacheLineBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 1191f0e2dc7SJiawei Lin val DCacheLineBytes = DCacheLineBits / 8 1201f0e2dc7SJiawei Lin val DCacheLineWords = DCacheLineBits / 64 // TODO 1211f0e2dc7SJiawei Lin 1221f0e2dc7SJiawei Lin val DCacheSameVPAddrLength = 12 1231f0e2dc7SJiawei Lin 1241f0e2dc7SJiawei Lin val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 1251f0e2dc7SJiawei Lin val DCacheWordOffset = 0 1261f0e2dc7SJiawei Lin val DCacheBankOffset = DCacheWordOffset + log2Up(DCacheSRAMRowBytes) 1271f0e2dc7SJiawei Lin val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 1281f0e2dc7SJiawei Lin val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 1291f0e2dc7SJiawei Lin val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 1301f0e2dc7SJiawei Lin val DCacheIndexOffset = DCacheBankOffset 1311f0e2dc7SJiawei Lin 1321f0e2dc7SJiawei Lin def addr_to_dcache_bank(addr: UInt) = { 1331f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheSetOffset) 1341f0e2dc7SJiawei Lin addr(DCacheSetOffset-1, DCacheBankOffset) 1351f0e2dc7SJiawei Lin } 1361f0e2dc7SJiawei Lin 1371f0e2dc7SJiawei Lin def addr_to_dcache_set(addr: UInt) = { 1381f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheAboveIndexOffset) 1391f0e2dc7SJiawei Lin addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 1401f0e2dc7SJiawei Lin } 1411f0e2dc7SJiawei Lin 1421f0e2dc7SJiawei Lin def get_data_of_bank(bank: Int, data: UInt) = { 1431f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 1441f0e2dc7SJiawei Lin data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 1451f0e2dc7SJiawei Lin } 1461f0e2dc7SJiawei Lin 1471f0e2dc7SJiawei Lin def get_mask_of_bank(bank: Int, data: UInt) = { 1481f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 1491f0e2dc7SJiawei Lin data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 1501f0e2dc7SJiawei Lin } 1511f0e2dc7SJiawei Lin 152*ad3ba452Szhanglinjuan val numReplaceRespPorts = 2 153*ad3ba452Szhanglinjuan 1541f0e2dc7SJiawei Lin require(isPow2(nSets), s"nSets($nSets) must be pow2") 1551f0e2dc7SJiawei Lin require(isPow2(nWays), s"nWays($nWays) must be pow2") 1561f0e2dc7SJiawei Lin require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 1571f0e2dc7SJiawei Lin require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 1581f0e2dc7SJiawei Lin} 1591f0e2dc7SJiawei Lin 1601f0e2dc7SJiawei Linabstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 1611f0e2dc7SJiawei Lin with HasDCacheParameters 1621f0e2dc7SJiawei Lin 1631f0e2dc7SJiawei Linabstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 1641f0e2dc7SJiawei Lin with HasDCacheParameters 1651f0e2dc7SJiawei Lin 1661f0e2dc7SJiawei Linclass ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 1671f0e2dc7SJiawei Lin val set = UInt(log2Up(nSets).W) 1681f0e2dc7SJiawei Lin val way = UInt(log2Up(nWays).W) 1691f0e2dc7SJiawei Lin} 1701f0e2dc7SJiawei Lin 171*ad3ba452Szhanglinjuanclass ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle { 172*ad3ba452Szhanglinjuan val set = ValidIO(UInt(log2Up(nSets).W)) 173*ad3ba452Szhanglinjuan val way = Input(UInt(log2Up(nWays).W)) 174*ad3ba452Szhanglinjuan} 175*ad3ba452Szhanglinjuan 1761f0e2dc7SJiawei Lin// memory request in word granularity(load, mmio, lr/sc, atomics) 1771f0e2dc7SJiawei Linclass DCacheWordReq(implicit p: Parameters) extends DCacheBundle 1781f0e2dc7SJiawei Lin{ 1791f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 1801f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 1811f0e2dc7SJiawei Lin val data = UInt(DataBits.W) 1821f0e2dc7SJiawei Lin val mask = UInt((DataBits/8).W) 1831f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 1843f4ec46fSCODE-JTZ val instrtype = UInt(sourceTypeWidth.W) 1851f0e2dc7SJiawei Lin def dump() = { 1861f0e2dc7SJiawei Lin XSDebug("DCacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 1871f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 1881f0e2dc7SJiawei Lin } 1891f0e2dc7SJiawei Lin} 1901f0e2dc7SJiawei Lin 1911f0e2dc7SJiawei Lin// memory request in word granularity(store) 1921f0e2dc7SJiawei Linclass DCacheLineReq(implicit p: Parameters) extends DCacheBundle 1931f0e2dc7SJiawei Lin{ 1941f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 1951f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 1961f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 1971f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 1981f0e2dc7SJiawei Lin val mask = UInt(cfg.blockBytes.W) 1991f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 2001f0e2dc7SJiawei Lin def dump() = { 2011f0e2dc7SJiawei Lin XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 2021f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 2031f0e2dc7SJiawei Lin } 204*ad3ba452Szhanglinjuan def idx: UInt = get_idx(vaddr) 2051f0e2dc7SJiawei Lin} 2061f0e2dc7SJiawei Lin 2071f0e2dc7SJiawei Linclass DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 2081f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 2091f0e2dc7SJiawei Lin} 2101f0e2dc7SJiawei Lin 2111f0e2dc7SJiawei Linclass DCacheWordResp(implicit p: Parameters) extends DCacheBundle 2121f0e2dc7SJiawei Lin{ 2131f0e2dc7SJiawei Lin val data = UInt(DataBits.W) 2141f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 2151f0e2dc7SJiawei Lin val miss = Bool() 2161f0e2dc7SJiawei Lin // cache req nacked, replay it later 2173f4ec46fSCODE-JTZ val miss_enter = Bool() 2183f4ec46fSCODE-JTZ // cache miss, and enter the missqueue successfully. just for softprefetch 2191f0e2dc7SJiawei Lin val replay = Bool() 2201f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 2211f0e2dc7SJiawei Lin def dump() = { 2221f0e2dc7SJiawei Lin XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 2231f0e2dc7SJiawei Lin data, id, miss, replay) 2241f0e2dc7SJiawei Lin } 2251f0e2dc7SJiawei Lin} 2261f0e2dc7SJiawei Lin 2271f0e2dc7SJiawei Linclass DCacheLineResp(implicit p: Parameters) extends DCacheBundle 2281f0e2dc7SJiawei Lin{ 2291f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 2301f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 2311f0e2dc7SJiawei Lin val miss = Bool() 2321f0e2dc7SJiawei Lin // cache req nacked, replay it later 2331f0e2dc7SJiawei Lin val replay = Bool() 2341f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 2351f0e2dc7SJiawei Lin def dump() = { 2361f0e2dc7SJiawei Lin XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 2371f0e2dc7SJiawei Lin data, id, miss, replay) 2381f0e2dc7SJiawei Lin } 2391f0e2dc7SJiawei Lin} 2401f0e2dc7SJiawei Lin 2411f0e2dc7SJiawei Linclass Refill(implicit p: Parameters) extends DCacheBundle 2421f0e2dc7SJiawei Lin{ 2431f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 2441f0e2dc7SJiawei Lin val data = UInt(l1BusDataWidth.W) 2451f0e2dc7SJiawei Lin // for debug usage 2461f0e2dc7SJiawei Lin val data_raw = UInt((cfg.blockBytes * 8).W) 2471f0e2dc7SJiawei Lin val hasdata = Bool() 2481f0e2dc7SJiawei Lin val refill_done = Bool() 2491f0e2dc7SJiawei Lin def dump() = { 2501f0e2dc7SJiawei Lin XSDebug("Refill: addr: %x data: %x\n", addr, data) 2511f0e2dc7SJiawei Lin } 2521f0e2dc7SJiawei Lin} 2531f0e2dc7SJiawei Lin 2541f0e2dc7SJiawei Linclass DCacheWordIO(implicit p: Parameters) extends DCacheBundle 2551f0e2dc7SJiawei Lin{ 2561f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReq) 2571f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheWordResp)) 2581f0e2dc7SJiawei Lin} 2591f0e2dc7SJiawei Lin 2601f0e2dc7SJiawei Linclass DCacheWordIOWithVaddr(implicit p: Parameters) extends DCacheBundle 2611f0e2dc7SJiawei Lin{ 2621f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReqWithVaddr) 2631f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheWordResp)) 2641f0e2dc7SJiawei Lin} 2651f0e2dc7SJiawei Lin 2661f0e2dc7SJiawei Lin// used by load unit 2671f0e2dc7SJiawei Linclass DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 2681f0e2dc7SJiawei Lin{ 2691f0e2dc7SJiawei Lin // kill previous cycle's req 2701f0e2dc7SJiawei Lin val s1_kill = Output(Bool()) 271b6982e83SLemover val s2_kill = Output(Bool()) 2721f0e2dc7SJiawei Lin // cycle 0: virtual address: req.addr 2731f0e2dc7SJiawei Lin // cycle 1: physical address: s1_paddr 2741f0e2dc7SJiawei Lin val s1_paddr = Output(UInt(PAddrBits.W)) 2751f0e2dc7SJiawei Lin val s1_hit_way = Input(UInt(nWays.W)) 2761f0e2dc7SJiawei Lin val s1_disable_fast_wakeup = Input(Bool()) 277d87b76aaSWilliam Wang val s1_bank_conflict = Input(Bool()) 2781f0e2dc7SJiawei Lin} 2791f0e2dc7SJiawei Lin 2801f0e2dc7SJiawei Linclass DCacheLineIO(implicit p: Parameters) extends DCacheBundle 2811f0e2dc7SJiawei Lin{ 2821f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheLineReq) 2831f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheLineResp)) 2841f0e2dc7SJiawei Lin} 2851f0e2dc7SJiawei Lin 286*ad3ba452Szhanglinjuanclass DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle { 287*ad3ba452Szhanglinjuan // sbuffer will directly send request to dcache main pipe 288*ad3ba452Szhanglinjuan val req = Flipped(Decoupled(new DCacheLineReq)) 289*ad3ba452Szhanglinjuan 290*ad3ba452Szhanglinjuan val main_pipe_hit_resp = ValidIO(new DCacheLineResp) 291*ad3ba452Szhanglinjuan val refill_hit_resp = ValidIO(new DCacheLineResp) 292*ad3ba452Szhanglinjuan 293*ad3ba452Szhanglinjuan val replay_resp = ValidIO(new DCacheLineResp) 294*ad3ba452Szhanglinjuan 295*ad3ba452Szhanglinjuan def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp) 296*ad3ba452Szhanglinjuan} 297*ad3ba452Szhanglinjuan 2981f0e2dc7SJiawei Linclass DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 2991f0e2dc7SJiawei Lin val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 3001f0e2dc7SJiawei Lin val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 301*ad3ba452Szhanglinjuan val store = new DCacheToSbufferIO // for sbuffer 3021f0e2dc7SJiawei Lin val atomics = Flipped(new DCacheWordIOWithVaddr) // atomics reqs 3031f0e2dc7SJiawei Lin} 3041f0e2dc7SJiawei Lin 3051f0e2dc7SJiawei Linclass DCacheIO(implicit p: Parameters) extends DCacheBundle { 3061f0e2dc7SJiawei Lin val lsu = new DCacheToLsuIO 3071f0e2dc7SJiawei Lin val error = new L1CacheErrorInfo 3081f0e2dc7SJiawei Lin val mshrFull = Output(Bool()) 3091f0e2dc7SJiawei Lin} 3101f0e2dc7SJiawei Lin 3111f0e2dc7SJiawei Lin 3121f0e2dc7SJiawei Linclass DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 3131f0e2dc7SJiawei Lin 3141f0e2dc7SJiawei Lin val clientParameters = TLMasterPortParameters.v1( 3151f0e2dc7SJiawei Lin Seq(TLMasterParameters.v1( 3161f0e2dc7SJiawei Lin name = "dcache", 317*ad3ba452Szhanglinjuan sourceId = IdRange(0, nEntries + 1), 3181f0e2dc7SJiawei Lin supportsProbe = TransferSizes(cfg.blockBytes) 3191f0e2dc7SJiawei Lin )), 3201f0e2dc7SJiawei Lin requestFields = cacheParams.reqFields, 3211f0e2dc7SJiawei Lin echoFields = cacheParams.echoFields 3221f0e2dc7SJiawei Lin ) 3231f0e2dc7SJiawei Lin 3241f0e2dc7SJiawei Lin val clientNode = TLClientNode(Seq(clientParameters)) 3251f0e2dc7SJiawei Lin 3261f0e2dc7SJiawei Lin lazy val module = new DCacheImp(this) 3271f0e2dc7SJiawei Lin} 3281f0e2dc7SJiawei Lin 3291f0e2dc7SJiawei Lin 3301f0e2dc7SJiawei Linclass DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters { 3311f0e2dc7SJiawei Lin 3321f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 3331f0e2dc7SJiawei Lin 3341f0e2dc7SJiawei Lin val (bus, edge) = outer.clientNode.out.head 3351f0e2dc7SJiawei Lin require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 3361f0e2dc7SJiawei Lin 3371f0e2dc7SJiawei Lin println("DCache:") 3381f0e2dc7SJiawei Lin println(" DCacheSets: " + DCacheSets) 3391f0e2dc7SJiawei Lin println(" DCacheWays: " + DCacheWays) 3401f0e2dc7SJiawei Lin println(" DCacheBanks: " + DCacheBanks) 3411f0e2dc7SJiawei Lin println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 3421f0e2dc7SJiawei Lin println(" DCacheWordOffset: " + DCacheWordOffset) 3431f0e2dc7SJiawei Lin println(" DCacheBankOffset: " + DCacheBankOffset) 3441f0e2dc7SJiawei Lin println(" DCacheSetOffset: " + DCacheSetOffset) 3451f0e2dc7SJiawei Lin println(" DCacheTagOffset: " + DCacheTagOffset) 3461f0e2dc7SJiawei Lin println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 3471f0e2dc7SJiawei Lin 3481f0e2dc7SJiawei Lin //---------------------------------------- 3491f0e2dc7SJiawei Lin // core data structures 3501f0e2dc7SJiawei Lin val bankedDataArray = Module(new BankedDataArray) 351*ad3ba452Szhanglinjuan val metaArray = Module(new AsynchronousMetaArray(readPorts = 4, writePorts = 3)) 352*ad3ba452Szhanglinjuan val tagArray = Module(new DuplicatedTagArray(readPorts = LoadPipelineWidth + 1)) 3531f0e2dc7SJiawei Lin bankedDataArray.dump() 3541f0e2dc7SJiawei Lin 3551f0e2dc7SJiawei Lin val errors = bankedDataArray.io.errors ++ metaArray.io.errors 3561f0e2dc7SJiawei Lin io.error <> RegNext(Mux1H(errors.map(e => e.ecc_error.valid -> e))) 3571f0e2dc7SJiawei Lin // assert(!io.error.ecc_error.valid) 3581f0e2dc7SJiawei Lin 3591f0e2dc7SJiawei Lin //---------------------------------------- 3601f0e2dc7SJiawei Lin // core modules 3611f0e2dc7SJiawei Lin val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 3621f0e2dc7SJiawei Lin val atomicsReplayUnit = Module(new AtomicsReplayEntry) 3631f0e2dc7SJiawei Lin val mainPipe = Module(new MainPipe) 364*ad3ba452Szhanglinjuan val refillPipe = Module(new RefillPipe) 365*ad3ba452Szhanglinjuan val replacePipe = Module(new ReplacePipe) 3661f0e2dc7SJiawei Lin val missQueue = Module(new MissQueue(edge)) 3671f0e2dc7SJiawei Lin val probeQueue = Module(new ProbeQueue(edge)) 3681f0e2dc7SJiawei Lin val wb = Module(new WritebackQueue(edge)) 3691f0e2dc7SJiawei Lin 3701f0e2dc7SJiawei Lin //---------------------------------------- 3711f0e2dc7SJiawei Lin // meta array 372*ad3ba452Szhanglinjuan val meta_read_ports = ldu.map(_.io.meta_read) ++ 373*ad3ba452Szhanglinjuan Seq(mainPipe.io.meta_read, 374*ad3ba452Szhanglinjuan replacePipe.io.meta_read) 375*ad3ba452Szhanglinjuan val meta_resp_ports = ldu.map(_.io.meta_resp) ++ 376*ad3ba452Szhanglinjuan Seq(mainPipe.io.meta_resp, 377*ad3ba452Szhanglinjuan replacePipe.io.meta_resp) 378*ad3ba452Szhanglinjuan val meta_write_ports = Seq( 379*ad3ba452Szhanglinjuan mainPipe.io.meta_write, 380*ad3ba452Szhanglinjuan refillPipe.io.meta_write, 381*ad3ba452Szhanglinjuan replacePipe.io.meta_write 382*ad3ba452Szhanglinjuan ) 383*ad3ba452Szhanglinjuan meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p } 384*ad3ba452Szhanglinjuan meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r } 385*ad3ba452Szhanglinjuan meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p } 3861f0e2dc7SJiawei Lin 387*ad3ba452Szhanglinjuan //---------------------------------------- 388*ad3ba452Szhanglinjuan // tag array 389*ad3ba452Szhanglinjuan require(tagArray.io.read.size == (ldu.size + 1)) 390*ad3ba452Szhanglinjuan ldu.zipWithIndex.foreach { 391*ad3ba452Szhanglinjuan case (ld, i) => 392*ad3ba452Szhanglinjuan tagArray.io.read(i) <> ld.io.tag_read 393*ad3ba452Szhanglinjuan ld.io.tag_resp := tagArray.io.resp(i) 3941f0e2dc7SJiawei Lin } 395*ad3ba452Szhanglinjuan tagArray.io.read.last <> mainPipe.io.tag_read 396*ad3ba452Szhanglinjuan mainPipe.io.tag_resp := tagArray.io.resp.last 397*ad3ba452Szhanglinjuan 398*ad3ba452Szhanglinjuan val tag_write_arb = Module(new Arbiter(new TagWriteReq, 2)) 399*ad3ba452Szhanglinjuan tag_write_arb.io.in(0) <> refillPipe.io.tag_write 400*ad3ba452Szhanglinjuan tag_write_arb.io.in(1) <> mainPipe.io.tag_write 401*ad3ba452Szhanglinjuan tagArray.io.write <> tag_write_arb.io.out 4021f0e2dc7SJiawei Lin 4031f0e2dc7SJiawei Lin //---------------------------------------- 4041f0e2dc7SJiawei Lin // data array 4051f0e2dc7SJiawei Lin 406*ad3ba452Szhanglinjuan val dataReadLineArb = Module(new Arbiter(new L1BankedDataReadLineReq, 2)) 407*ad3ba452Szhanglinjuan dataReadLineArb.io.in(0) <> replacePipe.io.data_read 408*ad3ba452Szhanglinjuan dataReadLineArb.io.in(1) <> mainPipe.io.data_read 409*ad3ba452Szhanglinjuan 410*ad3ba452Szhanglinjuan val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 2)) 411*ad3ba452Szhanglinjuan dataWriteArb.io.in(0) <> refillPipe.io.data_write 412*ad3ba452Szhanglinjuan dataWriteArb.io.in(1) <> mainPipe.io.data_write 413*ad3ba452Szhanglinjuan 414*ad3ba452Szhanglinjuan bankedDataArray.io.write <> dataWriteArb.io.out 4151f0e2dc7SJiawei Lin bankedDataArray.io.read(0) <> ldu(0).io.banked_data_read 4161f0e2dc7SJiawei Lin bankedDataArray.io.read(1) <> ldu(1).io.banked_data_read 417*ad3ba452Szhanglinjuan bankedDataArray.io.readline <> dataReadLineArb.io.out 4181f0e2dc7SJiawei Lin 4191f0e2dc7SJiawei Lin ldu(0).io.banked_data_resp := bankedDataArray.io.resp 4201f0e2dc7SJiawei Lin ldu(1).io.banked_data_resp := bankedDataArray.io.resp 421*ad3ba452Szhanglinjuan mainPipe.io.data_resp := bankedDataArray.io.resp 422*ad3ba452Szhanglinjuan replacePipe.io.data_resp := bankedDataArray.io.resp 4231f0e2dc7SJiawei Lin 4241f0e2dc7SJiawei Lin ldu(0).io.bank_conflict_fast := bankedDataArray.io.bank_conflict_fast(0) 4251f0e2dc7SJiawei Lin ldu(1).io.bank_conflict_fast := bankedDataArray.io.bank_conflict_fast(1) 4261f0e2dc7SJiawei Lin ldu(0).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(0) 4271f0e2dc7SJiawei Lin ldu(1).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(1) 4281f0e2dc7SJiawei Lin 4291f0e2dc7SJiawei Lin //---------------------------------------- 4301f0e2dc7SJiawei Lin // load pipe 4311f0e2dc7SJiawei Lin // the s1 kill signal 4321f0e2dc7SJiawei Lin // only lsu uses this, replay never kills 4331f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { 4341f0e2dc7SJiawei Lin ldu(w).io.lsu <> io.lsu.load(w) 4351f0e2dc7SJiawei Lin 4361f0e2dc7SJiawei Lin // replay and nack not needed anymore 4371f0e2dc7SJiawei Lin // TODO: remove replay and nack 4381f0e2dc7SJiawei Lin ldu(w).io.nack := false.B 4391f0e2dc7SJiawei Lin 4401f0e2dc7SJiawei Lin ldu(w).io.disable_ld_fast_wakeup := 4411f0e2dc7SJiawei Lin bankedDataArray.io.bank_conflict_fast(w) // load pipe fast wake up should be disabled when bank conflict 4421f0e2dc7SJiawei Lin } 4431f0e2dc7SJiawei Lin 4441f0e2dc7SJiawei Lin //---------------------------------------- 4451f0e2dc7SJiawei Lin // atomics 4461f0e2dc7SJiawei Lin // atomics not finished yet 4471f0e2dc7SJiawei Lin io.lsu.atomics <> atomicsReplayUnit.io.lsu 448*ad3ba452Szhanglinjuan atomicsReplayUnit.io.pipe_resp := mainPipe.io.atomic_resp 4491f0e2dc7SJiawei Lin 4501f0e2dc7SJiawei Lin //---------------------------------------- 4511f0e2dc7SJiawei Lin // miss queue 4521f0e2dc7SJiawei Lin val MissReqPortCount = LoadPipelineWidth + 1 4531f0e2dc7SJiawei Lin val MainPipeMissReqPort = 0 4541f0e2dc7SJiawei Lin 4551f0e2dc7SJiawei Lin // Request 4561f0e2dc7SJiawei Lin val missReqArb = Module(new RRArbiter(new MissReq, MissReqPortCount)) 4571f0e2dc7SJiawei Lin 458*ad3ba452Szhanglinjuan missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss 4591f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req } 4601f0e2dc7SJiawei Lin 4611f0e2dc7SJiawei Lin wb.io.miss_req.valid := missReqArb.io.out.valid 4621f0e2dc7SJiawei Lin wb.io.miss_req.bits := missReqArb.io.out.bits.addr 4631f0e2dc7SJiawei Lin 4641f0e2dc7SJiawei Lin block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req) 4651f0e2dc7SJiawei Lin 4661f0e2dc7SJiawei Lin // refill to load queue 467*ad3ba452Szhanglinjuan io.lsu.lsq <> missQueue.io.refill_to_ldq 4681f0e2dc7SJiawei Lin 4691f0e2dc7SJiawei Lin // tilelink stuff 4701f0e2dc7SJiawei Lin bus.a <> missQueue.io.mem_acquire 4711f0e2dc7SJiawei Lin bus.e <> missQueue.io.mem_finish 472*ad3ba452Szhanglinjuan missQueue.io.probe_addr := bus.b.bits.address 473*ad3ba452Szhanglinjuan 474*ad3ba452Szhanglinjuan missQueue.io.main_pipe_resp := mainPipe.io.atomic_resp 4751f0e2dc7SJiawei Lin 4761f0e2dc7SJiawei Lin //---------------------------------------- 4771f0e2dc7SJiawei Lin // probe 4781f0e2dc7SJiawei Lin // probeQueue.io.mem_probe <> bus.b 4791f0e2dc7SJiawei Lin block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 480*ad3ba452Szhanglinjuan probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 4811f0e2dc7SJiawei Lin 4821f0e2dc7SJiawei Lin //---------------------------------------- 4831f0e2dc7SJiawei Lin // mainPipe 484*ad3ba452Szhanglinjuan// val MainPipeReqPortCount = 4 485*ad3ba452Szhanglinjuan// val MissMainPipeReqPort = 0 486*ad3ba452Szhanglinjuan// val StoreMainPipeReqPort = 1 487*ad3ba452Szhanglinjuan// val AtomicsMainPipeReqPort = 2 488*ad3ba452Szhanglinjuan// val ProbeMainPipeReqPort = 3 489*ad3ba452Szhanglinjuan// 490*ad3ba452Szhanglinjuan// val mainPipeReqArb = Module(new RRArbiter(new MainPipeReq, MainPipeReqPortCount)) 491*ad3ba452Szhanglinjuan// mainPipeReqArb.io.in(MissMainPipeReqPort) <> missQueue.io.pipe_req 492*ad3ba452Szhanglinjuan// mainPipeReqArb.io.in(StoreMainPipeReqPort) <> io.lsu.store.pipe_req 493*ad3ba452Szhanglinjuan// mainPipeReqArb.io.in(AtomicsMainPipeReqPort) <> atomicsReplayUnit.io.pipe_req 494*ad3ba452Szhanglinjuan// mainPipeReqArb.io.in(ProbeMainPipeReqPort) <> probeQueue.io.pipe_req 495*ad3ba452Szhanglinjuan// 496*ad3ba452Szhanglinjuan// // add a stage to break the Arbiter bits.addr to ready path 497*ad3ba452Szhanglinjuan// val mainPipeReq_valid = RegInit(false.B) 498*ad3ba452Szhanglinjuan// val mainPipeReq_fire = mainPipeReq_valid && mainPipe.io.req.ready 499*ad3ba452Szhanglinjuan// val mainPipeReq_req = RegEnable(mainPipeReqArb.io.out.bits, mainPipeReqArb.io.out.fire()) 500*ad3ba452Szhanglinjuan// 501*ad3ba452Szhanglinjuan// mainPipeReqArb.io.out.ready := mainPipeReq_fire || !mainPipeReq_valid 502*ad3ba452Szhanglinjuan// mainPipe.io.req.valid := mainPipeReq_valid 503*ad3ba452Szhanglinjuan// mainPipe.io.req.bits := mainPipeReq_req 504*ad3ba452Szhanglinjuan// 505*ad3ba452Szhanglinjuan// when (mainPipeReqArb.io.out.fire()) { mainPipeReq_valid := true.B } 506*ad3ba452Szhanglinjuan// when (!mainPipeReqArb.io.out.fire() && mainPipeReq_fire) { mainPipeReq_valid := false.B } 507*ad3ba452Szhanglinjuan// 508*ad3ba452Szhanglinjuan// missQueue.io.pipe_resp <> mainPipe.io.miss_resp 509*ad3ba452Szhanglinjuan// io.lsu.store.pipe_resp <> mainPipe.io.store_resp 510*ad3ba452Szhanglinjuan// atomicsReplayUnit.io.pipe_resp <> mainPipe.io.amo_resp 511*ad3ba452Szhanglinjuan// 512*ad3ba452Szhanglinjuan// probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 513*ad3ba452Szhanglinjuan// 514*ad3ba452Szhanglinjuan// for(i <- 0 until LoadPipelineWidth) { 515*ad3ba452Szhanglinjuan// mainPipe.io.replace_access(i) <> ldu(i).io.replace_access 516*ad3ba452Szhanglinjuan// } 5171f0e2dc7SJiawei Lin 518*ad3ba452Szhanglinjuan // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe, 519*ad3ba452Szhanglinjuan // block the req in main pipe 520*ad3ba452Szhanglinjuan val refillPipeStatus = Wire(Valid(UInt(idxBits.W))) 521*ad3ba452Szhanglinjuan refillPipeStatus.valid := refillPipe.io.req.valid 522*ad3ba452Szhanglinjuan refillPipeStatus.bits := refillPipe.io.req.bits.paddrWithVirtualAlias 523*ad3ba452Szhanglinjuan val blockMainPipeReqs = Seq( 524*ad3ba452Szhanglinjuan refillPipeStatus, 525*ad3ba452Szhanglinjuan replacePipe.io.status.s1_set, 526*ad3ba452Szhanglinjuan replacePipe.io.status.s2_set 527*ad3ba452Szhanglinjuan ) 528*ad3ba452Szhanglinjuan val storeShouldBeBlocked = Cat(blockMainPipeReqs.map(r => r.valid && r.bits === io.lsu.store.req.bits.idx)).orR 529*ad3ba452Szhanglinjuan val probeShouldBeBlocked = Cat(blockMainPipeReqs.map(r => r.valid && r.bits === get_idx(probeQueue.io.pipe_req.bits.vaddr))).orR 5301f0e2dc7SJiawei Lin 531*ad3ba452Szhanglinjuan block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, probeShouldBeBlocked) 532*ad3ba452Szhanglinjuan block_decoupled(io.lsu.store.req, mainPipe.io.store_req, storeShouldBeBlocked) 5331f0e2dc7SJiawei Lin 534*ad3ba452Szhanglinjuan io.lsu.store.replay_resp := mainPipe.io.store_replay_resp 535*ad3ba452Szhanglinjuan io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp 5361f0e2dc7SJiawei Lin 537*ad3ba452Szhanglinjuan val mainPipeAtomicReqArb = Module(new Arbiter(new MainPipeReq, 2)) 538*ad3ba452Szhanglinjuan mainPipeAtomicReqArb.io.in(0) <> missQueue.io.main_pipe_req 539*ad3ba452Szhanglinjuan mainPipeAtomicReqArb.io.in(1) <> atomicsReplayUnit.io.pipe_req 540*ad3ba452Szhanglinjuan mainPipe.io.atomic_req <> mainPipeAtomicReqArb.io.out 5411f0e2dc7SJiawei Lin 542*ad3ba452Szhanglinjuan mainPipe.io.invalid_resv_set := wb.io.req.fire && wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits 5431f0e2dc7SJiawei Lin 544*ad3ba452Szhanglinjuan //---------------------------------------- 545*ad3ba452Szhanglinjuan // replace pipe 546*ad3ba452Szhanglinjuan val mpStatus = mainPipe.io.status 547*ad3ba452Szhanglinjuan val replaceSet = addr_to_dcache_set(missQueue.io.replace_pipe_req.bits.vaddr) 548*ad3ba452Szhanglinjuan val replaceWayEn = missQueue.io.replace_pipe_req.bits.way_en 549*ad3ba452Szhanglinjuan val replaceShouldBeBlocked = mpStatus.s0_set.valid && replaceSet === mpStatus.s0_set.bits || 550*ad3ba452Szhanglinjuan Cat(Seq(mpStatus.s1, mpStatus.s2, mpStatus.s3).map(s => 551*ad3ba452Szhanglinjuan s.valid && s.bits.set === replaceSet && s.bits.way_en === replaceWayEn 552*ad3ba452Szhanglinjuan )).orR() 553*ad3ba452Szhanglinjuan block_decoupled(missQueue.io.replace_pipe_req, replacePipe.io.req, replaceShouldBeBlocked) 554*ad3ba452Szhanglinjuan missQueue.io.replace_pipe_resp := replacePipe.io.resp 5551f0e2dc7SJiawei Lin 556*ad3ba452Szhanglinjuan //---------------------------------------- 557*ad3ba452Szhanglinjuan // refill pipe 558*ad3ba452Szhanglinjuan val refillShouldBeBlocked = (mpStatus.s1.valid && mpStatus.s1.bits.set === missQueue.io.refill_pipe_req.bits.idx) || 559*ad3ba452Szhanglinjuan Cat(Seq(mpStatus.s2, mpStatus.s3).map(s => 560*ad3ba452Szhanglinjuan s.valid && 561*ad3ba452Szhanglinjuan s.bits.set === missQueue.io.refill_pipe_req.bits.idx && 562*ad3ba452Szhanglinjuan s.bits.way_en === missQueue.io.refill_pipe_req.bits.way_en 563*ad3ba452Szhanglinjuan )).orR 564*ad3ba452Szhanglinjuan block_decoupled(missQueue.io.refill_pipe_req, refillPipe.io.req, refillShouldBeBlocked) 565*ad3ba452Szhanglinjuan io.lsu.store.refill_hit_resp := refillPipe.io.store_resp 5661f0e2dc7SJiawei Lin 5671f0e2dc7SJiawei Lin //---------------------------------------- 5681f0e2dc7SJiawei Lin // wb 5691f0e2dc7SJiawei Lin // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 570*ad3ba452Szhanglinjuan val wbArb = Module(new Arbiter(new WritebackReq, 2)) 571*ad3ba452Szhanglinjuan wbArb.io.in.zip(Seq(mainPipe.io.wb, replacePipe.io.wb)).foreach { case (arb, pipe) => arb <> pipe } 572*ad3ba452Szhanglinjuan wb.io.req <> wbArb.io.out 5731f0e2dc7SJiawei Lin bus.c <> wb.io.mem_release 574*ad3ba452Szhanglinjuan wb.io.release_wakeup := refillPipe.io.release_wakeup 575*ad3ba452Szhanglinjuan wb.io.release_update := mainPipe.io.release_update 5761f0e2dc7SJiawei Lin 5771f0e2dc7SJiawei Lin // connect bus d 5781f0e2dc7SJiawei Lin missQueue.io.mem_grant.valid := false.B 5791f0e2dc7SJiawei Lin missQueue.io.mem_grant.bits := DontCare 5801f0e2dc7SJiawei Lin 5811f0e2dc7SJiawei Lin wb.io.mem_grant.valid := false.B 5821f0e2dc7SJiawei Lin wb.io.mem_grant.bits := DontCare 5831f0e2dc7SJiawei Lin 5841f0e2dc7SJiawei Lin // in L1DCache, we ony expect Grant[Data] and ReleaseAck 5851f0e2dc7SJiawei Lin bus.d.ready := false.B 5861f0e2dc7SJiawei Lin when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) { 5871f0e2dc7SJiawei Lin missQueue.io.mem_grant <> bus.d 5881f0e2dc7SJiawei Lin } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 5891f0e2dc7SJiawei Lin wb.io.mem_grant <> bus.d 5901f0e2dc7SJiawei Lin } .otherwise { 5911f0e2dc7SJiawei Lin assert (!bus.d.fire()) 5921f0e2dc7SJiawei Lin } 5931f0e2dc7SJiawei Lin 5941f0e2dc7SJiawei Lin //---------------------------------------- 595*ad3ba452Szhanglinjuan // replacement algorithm 596*ad3ba452Szhanglinjuan val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets) 597*ad3ba452Szhanglinjuan 598*ad3ba452Szhanglinjuan val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) 599*ad3ba452Szhanglinjuan replWayReqs.foreach{ 600*ad3ba452Szhanglinjuan case req => 601*ad3ba452Szhanglinjuan req.way := DontCare 602*ad3ba452Szhanglinjuan when (req.set.valid) { req.way := replacer.way(req.set.bits) } 603*ad3ba452Szhanglinjuan } 604*ad3ba452Szhanglinjuan 605*ad3ba452Szhanglinjuan val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq( 606*ad3ba452Szhanglinjuan mainPipe.io.replace_access, 607*ad3ba452Szhanglinjuan refillPipe.io.replace_access 608*ad3ba452Szhanglinjuan ) 609*ad3ba452Szhanglinjuan val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W)))) 610*ad3ba452Szhanglinjuan touchWays.zip(replAccessReqs).foreach { 611*ad3ba452Szhanglinjuan case (w, req) => 612*ad3ba452Szhanglinjuan w.valid := req.valid 613*ad3ba452Szhanglinjuan w.bits := req.bits.way 614*ad3ba452Szhanglinjuan } 615*ad3ba452Szhanglinjuan val touchSets = replAccessReqs.map(_.bits.set) 616*ad3ba452Szhanglinjuan replacer.access(touchSets, touchWays) 617*ad3ba452Szhanglinjuan 618*ad3ba452Szhanglinjuan //---------------------------------------- 6191f0e2dc7SJiawei Lin // assertions 6201f0e2dc7SJiawei Lin // dcache should only deal with DRAM addresses 6211f0e2dc7SJiawei Lin when (bus.a.fire()) { 6221f0e2dc7SJiawei Lin assert(bus.a.bits.address >= 0x80000000L.U) 6231f0e2dc7SJiawei Lin } 6241f0e2dc7SJiawei Lin when (bus.b.fire()) { 6251f0e2dc7SJiawei Lin assert(bus.b.bits.address >= 0x80000000L.U) 6261f0e2dc7SJiawei Lin } 6271f0e2dc7SJiawei Lin when (bus.c.fire()) { 6281f0e2dc7SJiawei Lin assert(bus.c.bits.address >= 0x80000000L.U) 6291f0e2dc7SJiawei Lin } 6301f0e2dc7SJiawei Lin 6311f0e2dc7SJiawei Lin //---------------------------------------- 6321f0e2dc7SJiawei Lin // utility functions 6331f0e2dc7SJiawei Lin def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 6341f0e2dc7SJiawei Lin sink.valid := source.valid && !block_signal 6351f0e2dc7SJiawei Lin source.ready := sink.ready && !block_signal 6361f0e2dc7SJiawei Lin sink.bits := source.bits 6371f0e2dc7SJiawei Lin } 6381f0e2dc7SJiawei Lin 6391f0e2dc7SJiawei Lin //---------------------------------------- 6401f0e2dc7SJiawei Lin // performance counters 6411f0e2dc7SJiawei Lin val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire())) 6421f0e2dc7SJiawei Lin XSPerfAccumulate("num_loads", num_loads) 6431f0e2dc7SJiawei Lin 6441f0e2dc7SJiawei Lin io.mshrFull := missQueue.io.full 645*ad3ba452Szhanglinjuan 646*ad3ba452Szhanglinjuan // performance counter 647*ad3ba452Szhanglinjuan val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType)) 648*ad3ba452Szhanglinjuan val st_access = Wire(ld_access.last.cloneType) 649*ad3ba452Szhanglinjuan ld_access.zip(ldu).foreach { 650*ad3ba452Szhanglinjuan case (a, u) => 651*ad3ba452Szhanglinjuan a.valid := RegNext(u.io.lsu.req.fire()) && !u.io.lsu.s1_kill 652*ad3ba452Szhanglinjuan a.bits.idx := RegNext(get_idx(u.io.lsu.req.bits.addr)) 653*ad3ba452Szhanglinjuan a.bits.tag := get_tag(u.io.lsu.s1_paddr) 654*ad3ba452Szhanglinjuan } 655*ad3ba452Szhanglinjuan st_access.valid := RegNext(mainPipe.io.store_req.fire()) 656*ad3ba452Szhanglinjuan st_access.bits.idx := RegNext(get_idx(mainPipe.io.store_req.bits.vaddr)) 657*ad3ba452Szhanglinjuan st_access.bits.tag := RegNext(get_tag(mainPipe.io.store_req.bits.addr)) 658*ad3ba452Szhanglinjuan val access_info = ld_access.toSeq ++ Seq(st_access) 659*ad3ba452Szhanglinjuan val early_replace = RegNext(missQueue.io.debug_early_replace) 660*ad3ba452Szhanglinjuan val access_early_replace = access_info.map { 661*ad3ba452Szhanglinjuan case acc => 662*ad3ba452Szhanglinjuan Cat(early_replace.map { 663*ad3ba452Szhanglinjuan case r => 664*ad3ba452Szhanglinjuan acc.valid && r.valid && 665*ad3ba452Szhanglinjuan acc.bits.tag === r.bits.tag && 666*ad3ba452Szhanglinjuan acc.bits.idx === r.bits.idx 667*ad3ba452Szhanglinjuan }) 668*ad3ba452Szhanglinjuan } 669*ad3ba452Szhanglinjuan XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace))) 6701f0e2dc7SJiawei Lin} 6711f0e2dc7SJiawei Lin 6721f0e2dc7SJiawei Linclass AMOHelper() extends ExtModule { 6731f0e2dc7SJiawei Lin val clock = IO(Input(Clock())) 6741f0e2dc7SJiawei Lin val enable = IO(Input(Bool())) 6751f0e2dc7SJiawei Lin val cmd = IO(Input(UInt(5.W))) 6761f0e2dc7SJiawei Lin val addr = IO(Input(UInt(64.W))) 6771f0e2dc7SJiawei Lin val wdata = IO(Input(UInt(64.W))) 6781f0e2dc7SJiawei Lin val mask = IO(Input(UInt(8.W))) 6791f0e2dc7SJiawei Lin val rdata = IO(Output(UInt(64.W))) 6801f0e2dc7SJiawei Lin} 6811f0e2dc7SJiawei Lin 6821f0e2dc7SJiawei Lin 6834f94c0c6SJiawei Linclass DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 6841f0e2dc7SJiawei Lin 6854f94c0c6SJiawei Lin val useDcache = coreParams.dcacheParametersOpt.nonEmpty 6864f94c0c6SJiawei Lin val clientNode = if (useDcache) TLIdentityNode() else null 6874f94c0c6SJiawei Lin val dcache = if (useDcache) LazyModule(new DCache()) else null 6884f94c0c6SJiawei Lin if (useDcache) { 6891f0e2dc7SJiawei Lin clientNode := dcache.clientNode 6901f0e2dc7SJiawei Lin } 6911f0e2dc7SJiawei Lin 6921f0e2dc7SJiawei Lin lazy val module = new LazyModuleImp(this) { 6931f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 6944f94c0c6SJiawei Lin if (!useDcache) { 6954f94c0c6SJiawei Lin // a fake dcache which uses dpi-c to access memory, only for debug usage! 6961f0e2dc7SJiawei Lin val fake_dcache = Module(new FakeDCache()) 6971f0e2dc7SJiawei Lin io <> fake_dcache.io 6981f0e2dc7SJiawei Lin } 6991f0e2dc7SJiawei Lin else { 7001f0e2dc7SJiawei Lin io <> dcache.module.io 7011f0e2dc7SJiawei Lin } 7021f0e2dc7SJiawei Lin } 7031f0e2dc7SJiawei Lin} 704