xref: /XiangShan/src/main/scala/xiangshan/cache/dcache/DCacheWrapper.scala (revision 9ebbb5101b39c5352f3d778eeb6e7e93c31a70af)
11f0e2dc7SJiawei Lin/***************************************************************************************
21f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
31f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Peng Cheng Laboratory
41f0e2dc7SJiawei Lin*
51f0e2dc7SJiawei Lin* XiangShan is licensed under Mulan PSL v2.
61f0e2dc7SJiawei Lin* You can use this software according to the terms and conditions of the Mulan PSL v2.
71f0e2dc7SJiawei Lin* You may obtain a copy of Mulan PSL v2 at:
81f0e2dc7SJiawei Lin*          http://license.coscl.org.cn/MulanPSL2
91f0e2dc7SJiawei Lin*
101f0e2dc7SJiawei Lin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
111f0e2dc7SJiawei Lin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
121f0e2dc7SJiawei Lin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
131f0e2dc7SJiawei Lin*
141f0e2dc7SJiawei Lin* See the Mulan PSL v2 for more details.
151f0e2dc7SJiawei Lin***************************************************************************************/
161f0e2dc7SJiawei Lin
171f0e2dc7SJiawei Linpackage xiangshan.cache
181f0e2dc7SJiawei Lin
191f0e2dc7SJiawei Linimport chipsalliance.rocketchip.config.Parameters
201f0e2dc7SJiawei Linimport chisel3._
211f0e2dc7SJiawei Linimport chisel3.experimental.ExtModule
221f0e2dc7SJiawei Linimport chisel3.util._
231f0e2dc7SJiawei Linimport xiangshan._
241f0e2dc7SJiawei Linimport utils._
253c02ee8fSwakafaimport utility._
261f0e2dc7SJiawei Linimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes}
271f0e2dc7SJiawei Linimport freechips.rocketchip.tilelink._
285668a921SJiawei Linimport freechips.rocketchip.util.{BundleFieldBase, UIntToOH1}
291f0e2dc7SJiawei Linimport device.RAMHelper
3015ee59e4Swakafaimport coupledL2.{AliasField, AliasKey, DirtyField, PrefetchField}
31d2b20d1aSTang Haojinimport utility.ReqSourceField
323c02ee8fSwakafaimport utility.FastArbiter
3304665835SMaxpicca-Liimport mem.AddPipelineReg
3404665835SMaxpicca-Liimport xiangshan.cache.wpu._
355668a921SJiawei Lin
36ad3ba452Szhanglinjuanimport scala.math.max
371f0e2dc7SJiawei Lin
381f0e2dc7SJiawei Lin// DCache specific parameters
391f0e2dc7SJiawei Lincase class DCacheParameters
401f0e2dc7SJiawei Lin(
411f0e2dc7SJiawei Lin  nSets: Int = 256,
421f0e2dc7SJiawei Lin  nWays: Int = 8,
43af22dd7cSWilliam Wang  rowBits: Int = 64,
441f0e2dc7SJiawei Lin  tagECC: Option[String] = None,
451f0e2dc7SJiawei Lin  dataECC: Option[String] = None,
46300ded30SWilliam Wang  replacer: Option[String] = Some("setplru"),
47fa9ac9b6SWilliam Wang  updateReplaceOn2ndmiss: Boolean = true,
481f0e2dc7SJiawei Lin  nMissEntries: Int = 1,
491f0e2dc7SJiawei Lin  nProbeEntries: Int = 1,
501f0e2dc7SJiawei Lin  nReleaseEntries: Int = 1,
511f0e2dc7SJiawei Lin  nMMIOEntries: Int = 1,
521f0e2dc7SJiawei Lin  nMMIOs: Int = 1,
53fddcfe1fSwakafa  blockBytes: Int = 64,
5415ee59e4Swakafa  alwaysReleaseData: Boolean = false
551f0e2dc7SJiawei Lin) extends L1CacheParameters {
561f0e2dc7SJiawei Lin  // if sets * blockBytes > 4KB(page size),
571f0e2dc7SJiawei Lin  // cache alias will happen,
581f0e2dc7SJiawei Lin  // we need to avoid this by recoding additional bits in L2 cache
591f0e2dc7SJiawei Lin  val setBytes = nSets * blockBytes
601f0e2dc7SJiawei Lin  val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None
611f0e2dc7SJiawei Lin  val reqFields: Seq[BundleFieldBase] = Seq(
62d2b20d1aSTang Haojin    PrefetchField(),
63d2b20d1aSTang Haojin    ReqSourceField()
641f0e2dc7SJiawei Lin  ) ++ aliasBitsOpt.map(AliasField)
6515ee59e4Swakafa  val echoFields: Seq[BundleFieldBase] = Nil
661f0e2dc7SJiawei Lin
671f0e2dc7SJiawei Lin  def tagCode: Code = Code.fromString(tagECC)
681f0e2dc7SJiawei Lin
691f0e2dc7SJiawei Lin  def dataCode: Code = Code.fromString(dataECC)
701f0e2dc7SJiawei Lin}
711f0e2dc7SJiawei Lin
721f0e2dc7SJiawei Lin//           Physical Address
731f0e2dc7SJiawei Lin// --------------------------------------
741f0e2dc7SJiawei Lin// |   Physical Tag |  PIndex  | Offset |
751f0e2dc7SJiawei Lin// --------------------------------------
761f0e2dc7SJiawei Lin//                  |
771f0e2dc7SJiawei Lin//                  DCacheTagOffset
781f0e2dc7SJiawei Lin//
791f0e2dc7SJiawei Lin//           Virtual Address
801f0e2dc7SJiawei Lin// --------------------------------------
811f0e2dc7SJiawei Lin// | Above index  | Set | Bank | Offset |
821f0e2dc7SJiawei Lin// --------------------------------------
831f0e2dc7SJiawei Lin//                |     |      |        |
84ca18a0b4SWilliam Wang//                |     |      |        0
851f0e2dc7SJiawei Lin//                |     |      DCacheBankOffset
861f0e2dc7SJiawei Lin//                |     DCacheSetOffset
871f0e2dc7SJiawei Lin//                DCacheAboveIndexOffset
881f0e2dc7SJiawei Lin
891f0e2dc7SJiawei Lin// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte
901f0e2dc7SJiawei Lin
911f0e2dc7SJiawei Lintrait HasDCacheParameters extends HasL1CacheParameters {
921f0e2dc7SJiawei Lin  val cacheParams = dcacheParameters
931f0e2dc7SJiawei Lin  val cfg = cacheParams
941f0e2dc7SJiawei Lin
951f0e2dc7SJiawei Lin  def encWordBits = cacheParams.dataCode.width(wordBits)
961f0e2dc7SJiawei Lin
971f0e2dc7SJiawei Lin  def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only
981f0e2dc7SJiawei Lin  def eccBits = encWordBits - wordBits
991f0e2dc7SJiawei Lin
100e19f7967SWilliam Wang  def encTagBits = cacheParams.tagCode.width(tagBits)
101e19f7967SWilliam Wang  def eccTagBits = encTagBits - tagBits
102e19f7967SWilliam Wang
1031f0e2dc7SJiawei Lin  def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant
1041f0e2dc7SJiawei Lin
1052db9ec44SLinJiawei  def nSourceType = 10
1061f0e2dc7SJiawei Lin  def sourceTypeWidth = log2Up(nSourceType)
10700575ac8SWilliam Wang  // non-prefetch source < 3
1081f0e2dc7SJiawei Lin  def LOAD_SOURCE = 0
1091f0e2dc7SJiawei Lin  def STORE_SOURCE = 1
1101f0e2dc7SJiawei Lin  def AMO_SOURCE = 2
11100575ac8SWilliam Wang  // prefetch source >= 3
11200575ac8SWilliam Wang  def DCACHE_PREFETCH_SOURCE = 3
1132db9ec44SLinJiawei  def SOFT_PREFETCH = 4
1142db9ec44SLinJiawei  def HW_PREFETCH_AGT = 5
1152db9ec44SLinJiawei  def HW_PREFETCH_PHT_CUR = 6
1162db9ec44SLinJiawei  def HW_PREFETCH_PHT_INC = 7
1172db9ec44SLinJiawei  def HW_PREFETCH_PHT_DEC = 8
1182db9ec44SLinJiawei  def HW_PREFETCH_BOP = 9
1192db9ec44SLinJiawei  def HW_PREFETCH_STRIDE = 10
1201f0e2dc7SJiawei Lin
1211f0e2dc7SJiawei Lin  // each source use a id to distinguish its multiple reqs
1228b1251e1SWilliam Wang  def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize)
1231f0e2dc7SJiawei Lin
124300ded30SWilliam Wang  require(isPow2(cfg.nMissEntries)) // TODO
125300ded30SWilliam Wang  // require(isPow2(cfg.nReleaseEntries))
126300ded30SWilliam Wang  require(cfg.nMissEntries < cfg.nReleaseEntries)
127300ded30SWilliam Wang  val nEntries = cfg.nMissEntries + cfg.nReleaseEntries
128300ded30SWilliam Wang  val releaseIdBase = cfg.nMissEntries
129ad3ba452Szhanglinjuan
1301f0e2dc7SJiawei Lin  // banked dcache support
1313eeae490SMaxpicca-Li  val DCacheSetDiv = 1
1321f0e2dc7SJiawei Lin  val DCacheSets = cacheParams.nSets
1331f0e2dc7SJiawei Lin  val DCacheWays = cacheParams.nWays
134af22dd7cSWilliam Wang  val DCacheBanks = 8 // hardcoded
135a9c1b353SMaxpicca-Li  val DCacheDupNum = 16
136af22dd7cSWilliam Wang  val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded
137ca18a0b4SWilliam Wang  val DCacheWordBits = 64 // hardcoded
138ca18a0b4SWilliam Wang  val DCacheWordBytes = DCacheWordBits / 8
139cdbff57cSHaoyuan Feng  val DCacheVWordBytes = VLEN / 8
140af22dd7cSWilliam Wang  require(DCacheSRAMRowBits == 64)
1411f0e2dc7SJiawei Lin
1423eeae490SMaxpicca-Li  val DCacheSetDivBits = log2Ceil(DCacheSetDiv)
1433eeae490SMaxpicca-Li  val DCacheSetBits = log2Ceil(DCacheSets)
144ca18a0b4SWilliam Wang  val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets
145ca18a0b4SWilliam Wang  val DCacheSizeBytes = DCacheSizeBits / 8
146ca18a0b4SWilliam Wang  val DCacheSizeWords = DCacheSizeBits / 64 // TODO
1471f0e2dc7SJiawei Lin
1481f0e2dc7SJiawei Lin  val DCacheSameVPAddrLength = 12
1491f0e2dc7SJiawei Lin
1501f0e2dc7SJiawei Lin  val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8
151ca18a0b4SWilliam Wang  val DCacheWordOffset = log2Up(DCacheWordBytes)
152cdbff57cSHaoyuan Feng  val DCacheVWordOffset = log2Up(DCacheVWordBytes)
153ca18a0b4SWilliam Wang
154ca18a0b4SWilliam Wang  val DCacheBankOffset = log2Up(DCacheSRAMRowBytes)
1551f0e2dc7SJiawei Lin  val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks)
1561f0e2dc7SJiawei Lin  val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets)
1571f0e2dc7SJiawei Lin  val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength
158ca18a0b4SWilliam Wang  val DCacheLineOffset = DCacheSetOffset
1591f0e2dc7SJiawei Lin
16037225120Ssfencevma  // uncache
161e4f69d78Ssfencevma  val uncacheIdxBits = log2Up(StoreQueueSize + 1) max log2Up(VirtualLoadQueueSize + 1)
162b52348aeSWilliam Wang  // hardware prefetch parameters
163b52348aeSWilliam Wang  // high confidence hardware prefetch port
164b52348aeSWilliam Wang  val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default
165b52348aeSWilliam Wang  val IgnorePrefetchConfidence = false
16637225120Ssfencevma
1676c7e5e86Szhanglinjuan  // parameters about duplicating regs to solve fanout
1686c7e5e86Szhanglinjuan  // In Main Pipe:
1696c7e5e86Szhanglinjuan    // tag_write.ready -> data_write.valid * 8 banks
1706c7e5e86Szhanglinjuan    // tag_write.ready -> meta_write.valid
1716c7e5e86Szhanglinjuan    // tag_write.ready -> tag_write.valid
1726c7e5e86Szhanglinjuan    // tag_write.ready -> err_write.valid
1736c7e5e86Szhanglinjuan    // tag_write.ready -> wb.valid
1746c7e5e86Szhanglinjuan  val nDupTagWriteReady = DCacheBanks + 4
1756c7e5e86Szhanglinjuan  // In Main Pipe:
1766c7e5e86Szhanglinjuan    // data_write.ready -> data_write.valid * 8 banks
1776c7e5e86Szhanglinjuan    // data_write.ready -> meta_write.valid
1786c7e5e86Szhanglinjuan    // data_write.ready -> tag_write.valid
1796c7e5e86Szhanglinjuan    // data_write.ready -> err_write.valid
1806c7e5e86Szhanglinjuan    // data_write.ready -> wb.valid
1816c7e5e86Szhanglinjuan  val nDupDataWriteReady = DCacheBanks + 4
1826c7e5e86Szhanglinjuan  val nDupWbReady = DCacheBanks + 4
1836c7e5e86Szhanglinjuan  val nDupStatus = nDupTagWriteReady + nDupDataWriteReady
1846c7e5e86Szhanglinjuan  val dataWritePort = 0
1856c7e5e86Szhanglinjuan  val metaWritePort = DCacheBanks
1866c7e5e86Szhanglinjuan  val tagWritePort = metaWritePort + 1
1876c7e5e86Szhanglinjuan  val errWritePort = tagWritePort + 1
1886c7e5e86Szhanglinjuan  val wbPort = errWritePort + 1
1896c7e5e86Szhanglinjuan
1903eeae490SMaxpicca-Li  def set_to_dcache_div(set: UInt) = {
1913eeae490SMaxpicca-Li    require(set.getWidth >= DCacheSetBits)
1923eeae490SMaxpicca-Li    if (DCacheSetDivBits == 0) 0.U else set(DCacheSetDivBits-1, 0)
1933eeae490SMaxpicca-Li  }
1943eeae490SMaxpicca-Li
1953eeae490SMaxpicca-Li  def set_to_dcache_div_set(set: UInt) = {
1963eeae490SMaxpicca-Li    require(set.getWidth >= DCacheSetBits)
1973eeae490SMaxpicca-Li    set(DCacheSetBits - 1, DCacheSetDivBits)
1983eeae490SMaxpicca-Li  }
1993eeae490SMaxpicca-Li
2001f0e2dc7SJiawei Lin  def addr_to_dcache_bank(addr: UInt) = {
2011f0e2dc7SJiawei Lin    require(addr.getWidth >= DCacheSetOffset)
2021f0e2dc7SJiawei Lin    addr(DCacheSetOffset-1, DCacheBankOffset)
2031f0e2dc7SJiawei Lin  }
2041f0e2dc7SJiawei Lin
2053eeae490SMaxpicca-Li  def addr_to_dcache_div(addr: UInt) = {
2063eeae490SMaxpicca-Li    require(addr.getWidth >= DCacheAboveIndexOffset)
2073eeae490SMaxpicca-Li    if(DCacheSetDivBits == 0) 0.U else addr(DCacheSetOffset + DCacheSetDivBits - 1, DCacheSetOffset)
2083eeae490SMaxpicca-Li  }
2093eeae490SMaxpicca-Li
2103eeae490SMaxpicca-Li  def addr_to_dcache_div_set(addr: UInt) = {
2113eeae490SMaxpicca-Li    require(addr.getWidth >= DCacheAboveIndexOffset)
2123eeae490SMaxpicca-Li    addr(DCacheAboveIndexOffset - 1, DCacheSetOffset + DCacheSetDivBits)
2133eeae490SMaxpicca-Li  }
2143eeae490SMaxpicca-Li
2151f0e2dc7SJiawei Lin  def addr_to_dcache_set(addr: UInt) = {
2161f0e2dc7SJiawei Lin    require(addr.getWidth >= DCacheAboveIndexOffset)
2171f0e2dc7SJiawei Lin    addr(DCacheAboveIndexOffset-1, DCacheSetOffset)
2181f0e2dc7SJiawei Lin  }
2191f0e2dc7SJiawei Lin
2201f0e2dc7SJiawei Lin  def get_data_of_bank(bank: Int, data: UInt) = {
2211f0e2dc7SJiawei Lin    require(data.getWidth >= (bank+1)*DCacheSRAMRowBits)
2221f0e2dc7SJiawei Lin    data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank)
2231f0e2dc7SJiawei Lin  }
2241f0e2dc7SJiawei Lin
2251f0e2dc7SJiawei Lin  def get_mask_of_bank(bank: Int, data: UInt) = {
2261f0e2dc7SJiawei Lin    require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes)
2271f0e2dc7SJiawei Lin    data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank)
2281f0e2dc7SJiawei Lin  }
2291f0e2dc7SJiawei Lin
23004665835SMaxpicca-Li  def get_direct_map_way(addr:UInt): UInt = {
23104665835SMaxpicca-Li    addr(DCacheAboveIndexOffset + log2Up(DCacheWays) - 1, DCacheAboveIndexOffset)
23204665835SMaxpicca-Li  }
23304665835SMaxpicca-Li
234578c21a4Szhanglinjuan  def arbiter[T <: Bundle](
235578c21a4Szhanglinjuan    in: Seq[DecoupledIO[T]],
236578c21a4Szhanglinjuan    out: DecoupledIO[T],
237578c21a4Szhanglinjuan    name: Option[String] = None): Unit = {
238578c21a4Szhanglinjuan    val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size))
239578c21a4Szhanglinjuan    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
240578c21a4Szhanglinjuan    for ((a, req) <- arb.io.in.zip(in)) {
241578c21a4Szhanglinjuan      a <> req
242578c21a4Szhanglinjuan    }
243578c21a4Szhanglinjuan    out <> arb.io.out
244578c21a4Szhanglinjuan  }
245578c21a4Szhanglinjuan
246b36dd5fdSWilliam Wang  def arbiter_with_pipereg[T <: Bundle](
247b36dd5fdSWilliam Wang    in: Seq[DecoupledIO[T]],
248b36dd5fdSWilliam Wang    out: DecoupledIO[T],
249b36dd5fdSWilliam Wang    name: Option[String] = None): Unit = {
250b36dd5fdSWilliam Wang    val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size))
251b36dd5fdSWilliam Wang    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
252b36dd5fdSWilliam Wang    for ((a, req) <- arb.io.in.zip(in)) {
253b36dd5fdSWilliam Wang      a <> req
254b36dd5fdSWilliam Wang    }
255b36dd5fdSWilliam Wang    AddPipelineReg(arb.io.out, out, false.B)
256b36dd5fdSWilliam Wang  }
257b36dd5fdSWilliam Wang
258b11ec622Slixin  def arbiter_with_pipereg_N_dup[T <: Bundle](
259b11ec622Slixin    in: Seq[DecoupledIO[T]],
260b11ec622Slixin    out: DecoupledIO[T],
261c3a5fe5fShappy-lx    dups: Seq[DecoupledIO[T]],
262b11ec622Slixin    name: Option[String] = None): Unit = {
263b11ec622Slixin    val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size))
264b11ec622Slixin    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
265b11ec622Slixin    for ((a, req) <- arb.io.in.zip(in)) {
266b11ec622Slixin      a <> req
267b11ec622Slixin    }
268b11ec622Slixin    for (dup <- dups) {
269c3a5fe5fShappy-lx      AddPipelineReg(arb.io.out, dup, false.B)
270b11ec622Slixin    }
271c3a5fe5fShappy-lx    AddPipelineReg(arb.io.out, out, false.B)
272b11ec622Slixin  }
273b11ec622Slixin
274578c21a4Szhanglinjuan  def rrArbiter[T <: Bundle](
275578c21a4Szhanglinjuan    in: Seq[DecoupledIO[T]],
276578c21a4Szhanglinjuan    out: DecoupledIO[T],
277578c21a4Szhanglinjuan    name: Option[String] = None): Unit = {
278578c21a4Szhanglinjuan    val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size))
279578c21a4Szhanglinjuan    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
280578c21a4Szhanglinjuan    for ((a, req) <- arb.io.in.zip(in)) {
281578c21a4Szhanglinjuan      a <> req
282578c21a4Szhanglinjuan    }
283578c21a4Szhanglinjuan    out <> arb.io.out
284578c21a4Szhanglinjuan  }
285578c21a4Szhanglinjuan
2867cd72b71Szhanglinjuan  def fastArbiter[T <: Bundle](
2877cd72b71Szhanglinjuan    in: Seq[DecoupledIO[T]],
2887cd72b71Szhanglinjuan    out: DecoupledIO[T],
2897cd72b71Szhanglinjuan    name: Option[String] = None): Unit = {
2907cd72b71Szhanglinjuan    val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size))
2917cd72b71Szhanglinjuan    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
2927cd72b71Szhanglinjuan    for ((a, req) <- arb.io.in.zip(in)) {
2937cd72b71Szhanglinjuan      a <> req
2947cd72b71Szhanglinjuan    }
2957cd72b71Szhanglinjuan    out <> arb.io.out
2967cd72b71Szhanglinjuan  }
2977cd72b71Szhanglinjuan
298ad3ba452Szhanglinjuan  val numReplaceRespPorts = 2
299ad3ba452Szhanglinjuan
3001f0e2dc7SJiawei Lin  require(isPow2(nSets), s"nSets($nSets) must be pow2")
3011f0e2dc7SJiawei Lin  require(isPow2(nWays), s"nWays($nWays) must be pow2")
3021f0e2dc7SJiawei Lin  require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)")
3031f0e2dc7SJiawei Lin  require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)")
3041f0e2dc7SJiawei Lin}
3051f0e2dc7SJiawei Lin
3061f0e2dc7SJiawei Linabstract class DCacheModule(implicit p: Parameters) extends L1CacheModule
3071f0e2dc7SJiawei Lin  with HasDCacheParameters
3081f0e2dc7SJiawei Lin
3091f0e2dc7SJiawei Linabstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle
3101f0e2dc7SJiawei Lin  with HasDCacheParameters
3111f0e2dc7SJiawei Lin
3121f0e2dc7SJiawei Linclass ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle {
3131f0e2dc7SJiawei Lin  val set = UInt(log2Up(nSets).W)
3141f0e2dc7SJiawei Lin  val way = UInt(log2Up(nWays).W)
3151f0e2dc7SJiawei Lin}
3161f0e2dc7SJiawei Lin
317ad3ba452Szhanglinjuanclass ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle {
318ad3ba452Szhanglinjuan  val set = ValidIO(UInt(log2Up(nSets).W))
31904665835SMaxpicca-Li  val dmWay = Output(UInt(log2Up(nWays).W))
320ad3ba452Szhanglinjuan  val way = Input(UInt(log2Up(nWays).W))
321ad3ba452Szhanglinjuan}
322ad3ba452Szhanglinjuan
3233af6aa6eSWilliam Wangclass DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle
3243af6aa6eSWilliam Wang{
3253af6aa6eSWilliam Wang  val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store
3263af6aa6eSWilliam Wang  val prefetch = Bool() // cache line is first required by prefetch
3273af6aa6eSWilliam Wang  val access = Bool() // cache line has been accessed by load / store
3283af6aa6eSWilliam Wang
3293af6aa6eSWilliam Wang  // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline
3303af6aa6eSWilliam Wang}
3313af6aa6eSWilliam Wang
3321f0e2dc7SJiawei Lin// memory request in word granularity(load, mmio, lr/sc, atomics)
3331f0e2dc7SJiawei Linclass DCacheWordReq(implicit p: Parameters) extends DCacheBundle
3341f0e2dc7SJiawei Lin{
3351f0e2dc7SJiawei Lin  val cmd    = UInt(M_SZ.W)
336d2b20d1aSTang Haojin  val vaddr  = UInt(VAddrBits.W)
337cdbff57cSHaoyuan Feng  val data   = UInt(VLEN.W)
338cdbff57cSHaoyuan Feng  val mask   = UInt((VLEN/8).W)
3391f0e2dc7SJiawei Lin  val id     = UInt(reqIdWidth.W)
3403f4ec46fSCODE-JTZ  val instrtype   = UInt(sourceTypeWidth.W)
341da3bf434SMaxpicca-Li  val isFirstIssue = Bool()
34204665835SMaxpicca-Li  val replayCarry = new ReplayCarry(nWays)
343da3bf434SMaxpicca-Li
344da3bf434SMaxpicca-Li  val debug_robIdx = UInt(log2Ceil(RobSize).W)
3451f0e2dc7SJiawei Lin  def dump() = {
346d2b20d1aSTang Haojin    XSDebug("DCacheWordReq: cmd: %x vaddr: %x data: %x mask: %x id: %d\n",
347d2b20d1aSTang Haojin      cmd, vaddr, data, mask, id)
3481f0e2dc7SJiawei Lin  }
3491f0e2dc7SJiawei Lin}
3501f0e2dc7SJiawei Lin
3511f0e2dc7SJiawei Lin// memory request in word granularity(store)
3521f0e2dc7SJiawei Linclass DCacheLineReq(implicit p: Parameters)  extends DCacheBundle
3531f0e2dc7SJiawei Lin{
3541f0e2dc7SJiawei Lin  val cmd    = UInt(M_SZ.W)
3551f0e2dc7SJiawei Lin  val vaddr  = UInt(VAddrBits.W)
3561f0e2dc7SJiawei Lin  val addr   = UInt(PAddrBits.W)
3571f0e2dc7SJiawei Lin  val data   = UInt((cfg.blockBytes * 8).W)
3581f0e2dc7SJiawei Lin  val mask   = UInt(cfg.blockBytes.W)
3591f0e2dc7SJiawei Lin  val id     = UInt(reqIdWidth.W)
3601f0e2dc7SJiawei Lin  def dump() = {
3611f0e2dc7SJiawei Lin    XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n",
3621f0e2dc7SJiawei Lin      cmd, addr, data, mask, id)
3631f0e2dc7SJiawei Lin  }
364ad3ba452Szhanglinjuan  def idx: UInt = get_idx(vaddr)
3651f0e2dc7SJiawei Lin}
3661f0e2dc7SJiawei Lin
3671f0e2dc7SJiawei Linclass DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq {
368d2b20d1aSTang Haojin  val addr = UInt(PAddrBits.W)
369ca18a0b4SWilliam Wang  val wline = Bool()
3701f0e2dc7SJiawei Lin}
3711f0e2dc7SJiawei Lin
3726786cfb7SWilliam Wangclass BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle
3731f0e2dc7SJiawei Lin{
374144422dcSMaxpicca-Li  // read in s2
375cdbff57cSHaoyuan Feng  val data = UInt(VLEN.W)
376144422dcSMaxpicca-Li  // select in s3
377cdbff57cSHaoyuan Feng  val data_delayed = UInt(VLEN.W)
378026615fcSWilliam Wang  val id     = UInt(reqIdWidth.W)
3791f0e2dc7SJiawei Lin  // cache req missed, send it to miss queue
3801f0e2dc7SJiawei Lin  val miss   = Bool()
381026615fcSWilliam Wang  // cache miss, and failed to enter the missqueue, replay from RS is needed
3821f0e2dc7SJiawei Lin  val replay = Bool()
38304665835SMaxpicca-Li  val replayCarry = new ReplayCarry(nWays)
384026615fcSWilliam Wang  // data has been corrupted
385a469aa4bSWilliam Wang  val tag_error = Bool() // tag error
386144422dcSMaxpicca-Li  val mshr_id = UInt(log2Up(cfg.nMissEntries).W)
387144422dcSMaxpicca-Li
388da3bf434SMaxpicca-Li  val debug_robIdx = UInt(log2Ceil(RobSize).W)
3891f0e2dc7SJiawei Lin  def dump() = {
3901f0e2dc7SJiawei Lin    XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n",
3911f0e2dc7SJiawei Lin      data, id, miss, replay)
3921f0e2dc7SJiawei Lin  }
3931f0e2dc7SJiawei Lin}
3941f0e2dc7SJiawei Lin
3956786cfb7SWilliam Wangclass DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp
3966786cfb7SWilliam Wang{
3974b6d4d13SWilliam Wang  val meta_prefetch = Bool()
3984b6d4d13SWilliam Wang  val meta_access = Bool()
399b9e121dfShappy-lx  // s2
400b9e121dfShappy-lx  val handled = Bool()
401b9e121dfShappy-lx  // s3: 1 cycle after data resp
4026786cfb7SWilliam Wang  val error_delayed = Bool() // all kinds of errors, include tag error
403b9e121dfShappy-lx  val replacementUpdated = Bool()
4046786cfb7SWilliam Wang}
4056786cfb7SWilliam Wang
406a19ae480SWilliam Wangclass BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp
407a19ae480SWilliam Wang{
408a19ae480SWilliam Wang  val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W))
409a19ae480SWilliam Wang  val bank_oh = UInt(DCacheBanks.W)
410a19ae480SWilliam Wang}
411a19ae480SWilliam Wang
4126786cfb7SWilliam Wangclass DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp
4136786cfb7SWilliam Wang{
4146786cfb7SWilliam Wang  val error = Bool() // all kinds of errors, include tag error
4156786cfb7SWilliam Wang}
4166786cfb7SWilliam Wang
4171f0e2dc7SJiawei Linclass DCacheLineResp(implicit p: Parameters) extends DCacheBundle
4181f0e2dc7SJiawei Lin{
4191f0e2dc7SJiawei Lin  val data   = UInt((cfg.blockBytes * 8).W)
4201f0e2dc7SJiawei Lin  // cache req missed, send it to miss queue
4211f0e2dc7SJiawei Lin  val miss   = Bool()
4221f0e2dc7SJiawei Lin  // cache req nacked, replay it later
4231f0e2dc7SJiawei Lin  val replay = Bool()
4241f0e2dc7SJiawei Lin  val id     = UInt(reqIdWidth.W)
4251f0e2dc7SJiawei Lin  def dump() = {
4261f0e2dc7SJiawei Lin    XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n",
4271f0e2dc7SJiawei Lin      data, id, miss, replay)
4281f0e2dc7SJiawei Lin  }
4291f0e2dc7SJiawei Lin}
4301f0e2dc7SJiawei Lin
4311f0e2dc7SJiawei Linclass Refill(implicit p: Parameters) extends DCacheBundle
4321f0e2dc7SJiawei Lin{
4331f0e2dc7SJiawei Lin  val addr   = UInt(PAddrBits.W)
4341f0e2dc7SJiawei Lin  val data   = UInt(l1BusDataWidth.W)
435026615fcSWilliam Wang  val error  = Bool() // refilled data has been corrupted
4361f0e2dc7SJiawei Lin  // for debug usage
4371f0e2dc7SJiawei Lin  val data_raw = UInt((cfg.blockBytes * 8).W)
4381f0e2dc7SJiawei Lin  val hasdata = Bool()
4391f0e2dc7SJiawei Lin  val refill_done = Bool()
4401f0e2dc7SJiawei Lin  def dump() = {
4411f0e2dc7SJiawei Lin    XSDebug("Refill: addr: %x data: %x\n", addr, data)
4421f0e2dc7SJiawei Lin  }
443683c1411Shappy-lx  val id     = UInt(log2Up(cfg.nMissEntries).W)
4441f0e2dc7SJiawei Lin}
4451f0e2dc7SJiawei Lin
44667682d05SWilliam Wangclass Release(implicit p: Parameters) extends DCacheBundle
44767682d05SWilliam Wang{
44867682d05SWilliam Wang  val paddr  = UInt(PAddrBits.W)
44967682d05SWilliam Wang  def dump() = {
45067682d05SWilliam Wang    XSDebug("Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset))
45167682d05SWilliam Wang  }
45267682d05SWilliam Wang}
45367682d05SWilliam Wang
4541f0e2dc7SJiawei Linclass DCacheWordIO(implicit p: Parameters) extends DCacheBundle
4551f0e2dc7SJiawei Lin{
4561f0e2dc7SJiawei Lin  val req  = DecoupledIO(new DCacheWordReq)
457144422dcSMaxpicca-Li  val resp = Flipped(DecoupledIO(new DCacheWordResp))
4581f0e2dc7SJiawei Lin}
4591f0e2dc7SJiawei Lin
46037225120Ssfencevma
46137225120Ssfencevmaclass UncacheWordReq(implicit p: Parameters) extends DCacheBundle
46237225120Ssfencevma{
46337225120Ssfencevma  val cmd  = UInt(M_SZ.W)
46437225120Ssfencevma  val addr = UInt(PAddrBits.W)
465cdbff57cSHaoyuan Feng  val data = UInt(XLEN.W)
466cdbff57cSHaoyuan Feng  val mask = UInt((XLEN/8).W)
46737225120Ssfencevma  val id   = UInt(uncacheIdxBits.W)
46837225120Ssfencevma  val instrtype = UInt(sourceTypeWidth.W)
46937225120Ssfencevma  val atomic = Bool()
470da3bf434SMaxpicca-Li  val isFirstIssue = Bool()
47104665835SMaxpicca-Li  val replayCarry = new ReplayCarry(nWays)
47237225120Ssfencevma
47337225120Ssfencevma  def dump() = {
47437225120Ssfencevma    XSDebug("UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n",
47537225120Ssfencevma      cmd, addr, data, mask, id)
47637225120Ssfencevma  }
47737225120Ssfencevma}
47837225120Ssfencevma
479cdbff57cSHaoyuan Fengclass UncacheWordResp(implicit p: Parameters) extends DCacheBundle
48037225120Ssfencevma{
481cdbff57cSHaoyuan Feng  val data      = UInt(XLEN.W)
482cdbff57cSHaoyuan Feng  val data_delayed = UInt(XLEN.W)
48337225120Ssfencevma  val id        = UInt(uncacheIdxBits.W)
48437225120Ssfencevma  val miss      = Bool()
48537225120Ssfencevma  val replay    = Bool()
48637225120Ssfencevma  val tag_error = Bool()
48737225120Ssfencevma  val error     = Bool()
48804665835SMaxpicca-Li  val replayCarry = new ReplayCarry(nWays)
489144422dcSMaxpicca-Li  val mshr_id = UInt(log2Up(cfg.nMissEntries).W)  // FIXME: why uncacheWordResp is not merged to baseDcacheResp
49037225120Ssfencevma
491da3bf434SMaxpicca-Li  val debug_robIdx = UInt(log2Ceil(RobSize).W)
49237225120Ssfencevma  def dump() = {
49337225120Ssfencevma    XSDebug("UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n",
49437225120Ssfencevma      data, id, miss, replay, tag_error, error)
49537225120Ssfencevma  }
49637225120Ssfencevma}
49737225120Ssfencevma
4986786cfb7SWilliam Wangclass UncacheWordIO(implicit p: Parameters) extends DCacheBundle
4996786cfb7SWilliam Wang{
50037225120Ssfencevma  val req  = DecoupledIO(new UncacheWordReq)
501cdbff57cSHaoyuan Feng  val resp = Flipped(DecoupledIO(new UncacheWordResp))
5026786cfb7SWilliam Wang}
5036786cfb7SWilliam Wang
50462cb71fbShappy-lxclass AtomicsResp(implicit p: Parameters) extends DCacheBundle {
50562cb71fbShappy-lx  val data    = UInt(DataBits.W)
50662cb71fbShappy-lx  val miss    = Bool()
50762cb71fbShappy-lx  val miss_id = UInt(log2Up(cfg.nMissEntries).W)
50862cb71fbShappy-lx  val replay  = Bool()
50962cb71fbShappy-lx  val error   = Bool()
51062cb71fbShappy-lx
51162cb71fbShappy-lx  val ack_miss_queue = Bool()
51262cb71fbShappy-lx
51362cb71fbShappy-lx  val id     = UInt(reqIdWidth.W)
51462cb71fbShappy-lx}
51562cb71fbShappy-lx
5166786cfb7SWilliam Wangclass AtomicWordIO(implicit p: Parameters) extends DCacheBundle
5171f0e2dc7SJiawei Lin{
51862cb71fbShappy-lx  val req  = DecoupledIO(new MainPipeReq)
51962cb71fbShappy-lx  val resp = Flipped(ValidIO(new AtomicsResp))
52062cb71fbShappy-lx  val block_lr = Input(Bool())
5211f0e2dc7SJiawei Lin}
5221f0e2dc7SJiawei Lin
5231f0e2dc7SJiawei Lin// used by load unit
5241f0e2dc7SJiawei Linclass DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO
5251f0e2dc7SJiawei Lin{
5261f0e2dc7SJiawei Lin  // kill previous cycle's req
5271f0e2dc7SJiawei Lin  val s1_kill  = Output(Bool())
528b6982e83SLemover  val s2_kill  = Output(Bool())
52904665835SMaxpicca-Li  val s0_pc = Output(UInt(VAddrBits.W))
53004665835SMaxpicca-Li  val s1_pc = Output(UInt(VAddrBits.W))
5312db9ec44SLinJiawei  val s2_pc = Output(UInt(VAddrBits.W))
532b9e121dfShappy-lx  // cycle 0: load has updated replacement before
533b9e121dfShappy-lx  val replacementUpdated = Output(Bool())
5341f0e2dc7SJiawei Lin  // cycle 0: virtual address: req.addr
5351f0e2dc7SJiawei Lin  // cycle 1: physical address: s1_paddr
53603efd994Shappy-lx  val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr
53703efd994Shappy-lx  val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr
5381f0e2dc7SJiawei Lin  val s1_disable_fast_wakeup = Input(Bool())
53903efd994Shappy-lx  // cycle 2: hit signal
54003efd994Shappy-lx  val s2_hit = Input(Bool()) // hit signal for lsu,
541da3bf434SMaxpicca-Li  val s2_first_hit = Input(Bool())
542594c5198Ssfencevma  val s2_bank_conflict = Input(Bool())
54314a67055Ssfencevma  val s2_wpu_pred_fail = Input(Bool())
54414a67055Ssfencevma  val s2_mq_nack = Input(Bool())
54503efd994Shappy-lx
54603efd994Shappy-lx  // debug
54703efd994Shappy-lx  val debug_s1_hit_way = Input(UInt(nWays.W))
54804665835SMaxpicca-Li  val debug_s2_pred_way_num = Input(UInt(XLEN.W))
54904665835SMaxpicca-Li  val debug_s2_dm_way_num = Input(UInt(XLEN.W))
55004665835SMaxpicca-Li  val debug_s2_real_way_num = Input(UInt(XLEN.W))
5511f0e2dc7SJiawei Lin}
5521f0e2dc7SJiawei Lin
5531f0e2dc7SJiawei Linclass DCacheLineIO(implicit p: Parameters) extends DCacheBundle
5541f0e2dc7SJiawei Lin{
5551f0e2dc7SJiawei Lin  val req  = DecoupledIO(new DCacheLineReq)
5561f0e2dc7SJiawei Lin  val resp = Flipped(DecoupledIO(new DCacheLineResp))
5571f0e2dc7SJiawei Lin}
5581f0e2dc7SJiawei Lin
559ad3ba452Szhanglinjuanclass DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle {
560ad3ba452Szhanglinjuan  // sbuffer will directly send request to dcache main pipe
561ad3ba452Szhanglinjuan  val req = Flipped(Decoupled(new DCacheLineReq))
562ad3ba452Szhanglinjuan
563ad3ba452Szhanglinjuan  val main_pipe_hit_resp = ValidIO(new DCacheLineResp)
564ad3ba452Szhanglinjuan  val refill_hit_resp = ValidIO(new DCacheLineResp)
565ad3ba452Szhanglinjuan
566ad3ba452Szhanglinjuan  val replay_resp = ValidIO(new DCacheLineResp)
567ad3ba452Szhanglinjuan
568ad3ba452Szhanglinjuan  def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp)
569ad3ba452Szhanglinjuan}
570ad3ba452Szhanglinjuan
571683c1411Shappy-lx// forward tilelink channel D's data to ldu
572683c1411Shappy-lxclass DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle {
573683c1411Shappy-lx  val valid = Bool()
574683c1411Shappy-lx  val data = UInt(l1BusDataWidth.W)
575683c1411Shappy-lx  val mshrid = UInt(log2Up(cfg.nMissEntries).W)
576683c1411Shappy-lx  val last = Bool()
577683c1411Shappy-lx
578683c1411Shappy-lx  def apply(req_valid : Bool, req_data : UInt, req_mshrid : UInt, req_last : Bool) = {
579683c1411Shappy-lx    valid := req_valid
580683c1411Shappy-lx    data := req_data
581683c1411Shappy-lx    mshrid := req_mshrid
582683c1411Shappy-lx    last := req_last
583683c1411Shappy-lx  }
584683c1411Shappy-lx
585683c1411Shappy-lx  def dontCare() = {
586683c1411Shappy-lx    valid := false.B
587683c1411Shappy-lx    data := DontCare
588683c1411Shappy-lx    mshrid := DontCare
589683c1411Shappy-lx    last := DontCare
590683c1411Shappy-lx  }
591683c1411Shappy-lx
592683c1411Shappy-lx  def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = {
593683c1411Shappy-lx    val all_match = req_valid && valid &&
594683c1411Shappy-lx                req_mshr_id === mshrid &&
595683c1411Shappy-lx                req_paddr(log2Up(refillBytes)) === last
596683c1411Shappy-lx
597683c1411Shappy-lx    val forward_D = RegInit(false.B)
598cdbff57cSHaoyuan Feng    val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W))))
599683c1411Shappy-lx
600683c1411Shappy-lx    val block_idx = req_paddr(log2Up(refillBytes) - 1, 3)
601683c1411Shappy-lx    val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W)))
602683c1411Shappy-lx    (0 until l1BusDataWidth / 64).map(i => {
603683c1411Shappy-lx      block_data(i) := data(64 * i + 63, 64 * i)
604683c1411Shappy-lx    })
605cdbff57cSHaoyuan Feng    val selected_data = Wire(UInt(128.W))
606cdbff57cSHaoyuan Feng    selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx)))
607683c1411Shappy-lx
608683c1411Shappy-lx    forward_D := all_match
609cdbff57cSHaoyuan Feng    for (i <- 0 until VLEN/8) {
610683c1411Shappy-lx      forwardData(i) := selected_data(8 * i + 7, 8 * i)
611683c1411Shappy-lx    }
612683c1411Shappy-lx
613683c1411Shappy-lx    (forward_D, forwardData)
614683c1411Shappy-lx  }
615683c1411Shappy-lx}
616683c1411Shappy-lx
617683c1411Shappy-lxclass MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle {
618683c1411Shappy-lx  val inflight = Bool()
619683c1411Shappy-lx  val paddr = UInt(PAddrBits.W)
620*9ebbb510Shappy-lx  val raw_data = Vec(blockRows, UInt(rowBits.W))
621683c1411Shappy-lx  val firstbeat_valid = Bool()
622683c1411Shappy-lx  val lastbeat_valid = Bool()
623683c1411Shappy-lx
624683c1411Shappy-lx  def apply(mshr_valid : Bool, mshr_paddr : UInt, mshr_rawdata : Vec[UInt], mshr_first_valid : Bool, mshr_last_valid : Bool) = {
625683c1411Shappy-lx    inflight := mshr_valid
626683c1411Shappy-lx    paddr := mshr_paddr
627683c1411Shappy-lx    raw_data := mshr_rawdata
628683c1411Shappy-lx    firstbeat_valid := mshr_first_valid
629683c1411Shappy-lx    lastbeat_valid := mshr_last_valid
630683c1411Shappy-lx  }
631683c1411Shappy-lx
632683c1411Shappy-lx  // check if we can forward from mshr or D channel
633683c1411Shappy-lx  def check(req_valid : Bool, req_paddr : UInt) = {
634683c1411Shappy-lx    RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits))
635683c1411Shappy-lx  }
636683c1411Shappy-lx
637683c1411Shappy-lx  def forward(req_valid : Bool, req_paddr : UInt) = {
638683c1411Shappy-lx    val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) ||
639683c1411Shappy-lx                    (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid)
640683c1411Shappy-lx
641683c1411Shappy-lx    val forward_mshr = RegInit(false.B)
642cdbff57cSHaoyuan Feng    val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W))))
643683c1411Shappy-lx
644*9ebbb510Shappy-lx    val block_idx = req_paddr(log2Up(refillBytes), 3)
645*9ebbb510Shappy-lx    val block_data = raw_data
646*9ebbb510Shappy-lx
647cdbff57cSHaoyuan Feng    val selected_data = Wire(UInt(128.W))
648cdbff57cSHaoyuan Feng    selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx)))
649683c1411Shappy-lx
650683c1411Shappy-lx    forward_mshr := all_match
651cdbff57cSHaoyuan Feng    for (i <- 0 until VLEN/8) {
652683c1411Shappy-lx      forwardData(i) := selected_data(8 * i + 7, 8 * i)
653683c1411Shappy-lx    }
654683c1411Shappy-lx
655683c1411Shappy-lx    (forward_mshr, forwardData)
656683c1411Shappy-lx  }
657683c1411Shappy-lx}
658683c1411Shappy-lx
659683c1411Shappy-lx// forward mshr's data to ldu
660683c1411Shappy-lxclass LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle {
661683c1411Shappy-lx  // req
662683c1411Shappy-lx  val valid = Input(Bool())
663683c1411Shappy-lx  val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W))
664683c1411Shappy-lx  val paddr = Input(UInt(PAddrBits.W))
665683c1411Shappy-lx  // resp
666683c1411Shappy-lx  val forward_mshr = Output(Bool())
667cdbff57cSHaoyuan Feng  val forwardData = Output(Vec(VLEN/8, UInt(8.W)))
668683c1411Shappy-lx  val forward_result_valid = Output(Bool())
669683c1411Shappy-lx
670683c1411Shappy-lx  def connect(sink: LduToMissqueueForwardIO) = {
671683c1411Shappy-lx    sink.valid := valid
672683c1411Shappy-lx    sink.mshrid := mshrid
673683c1411Shappy-lx    sink.paddr := paddr
674683c1411Shappy-lx    forward_mshr := sink.forward_mshr
675683c1411Shappy-lx    forwardData := sink.forwardData
676683c1411Shappy-lx    forward_result_valid := sink.forward_result_valid
677683c1411Shappy-lx  }
678683c1411Shappy-lx
679683c1411Shappy-lx  def forward() = {
680683c1411Shappy-lx    (forward_result_valid, forward_mshr, forwardData)
681683c1411Shappy-lx  }
682683c1411Shappy-lx}
683683c1411Shappy-lx
6841f0e2dc7SJiawei Linclass DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle {
6851f0e2dc7SJiawei Lin  val load  = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load
6861f0e2dc7SJiawei Lin  val lsq = ValidIO(new Refill)  // refill to load queue, wake up load misses
6879444e131Ssfencevma  val tl_d_channel = Output(new DcacheToLduForwardIO)
688ad3ba452Szhanglinjuan  val store = new DCacheToSbufferIO // for sbuffer
6896786cfb7SWilliam Wang  val atomics  = Flipped(new AtomicWordIO)  // atomics reqs
69067682d05SWilliam Wang  val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check
691683c1411Shappy-lx  val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO))
692683c1411Shappy-lx  val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO)
6931f0e2dc7SJiawei Lin}
6941f0e2dc7SJiawei Lin
6951f0e2dc7SJiawei Linclass DCacheIO(implicit p: Parameters) extends DCacheBundle {
6965668a921SJiawei Lin  val hartId = Input(UInt(8.W))
697f1d78cf7SLinJiawei  val l2_pf_store_only = Input(Bool())
6981f0e2dc7SJiawei Lin  val lsu = new DCacheToLsuIO
699e19f7967SWilliam Wang  val csr = new L1CacheToCsrIO
7001f0e2dc7SJiawei Lin  val error = new L1CacheErrorInfo
7011f0e2dc7SJiawei Lin  val mshrFull = Output(Bool())
7022fdb4d6aShappy-lx  val force_write = Input(Bool())
7031f0e2dc7SJiawei Lin}
7041f0e2dc7SJiawei Lin
7051f0e2dc7SJiawei Lin
7061f0e2dc7SJiawei Linclass DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters {
7071f0e2dc7SJiawei Lin
7081f0e2dc7SJiawei Lin  val clientParameters = TLMasterPortParameters.v1(
7091f0e2dc7SJiawei Lin    Seq(TLMasterParameters.v1(
7101f0e2dc7SJiawei Lin      name = "dcache",
711ad3ba452Szhanglinjuan      sourceId = IdRange(0, nEntries + 1),
7121f0e2dc7SJiawei Lin      supportsProbe = TransferSizes(cfg.blockBytes)
7131f0e2dc7SJiawei Lin    )),
7141f0e2dc7SJiawei Lin    requestFields = cacheParams.reqFields,
7151f0e2dc7SJiawei Lin    echoFields = cacheParams.echoFields
7161f0e2dc7SJiawei Lin  )
7171f0e2dc7SJiawei Lin
7181f0e2dc7SJiawei Lin  val clientNode = TLClientNode(Seq(clientParameters))
7191f0e2dc7SJiawei Lin
7201f0e2dc7SJiawei Lin  lazy val module = new DCacheImp(this)
7211f0e2dc7SJiawei Lin}
7221f0e2dc7SJiawei Lin
7231f0e2dc7SJiawei Lin
7241ca0e4f3SYinan Xuclass DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents {
7251f0e2dc7SJiawei Lin
7261f0e2dc7SJiawei Lin  val io = IO(new DCacheIO)
7271f0e2dc7SJiawei Lin
7281f0e2dc7SJiawei Lin  val (bus, edge) = outer.clientNode.out.head
7291f0e2dc7SJiawei Lin  require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match")
7301f0e2dc7SJiawei Lin
7311f0e2dc7SJiawei Lin  println("DCache:")
7321f0e2dc7SJiawei Lin  println("  DCacheSets: " + DCacheSets)
7333eeae490SMaxpicca-Li  println("  DCacheSetDiv: " + DCacheSetDiv)
7341f0e2dc7SJiawei Lin  println("  DCacheWays: " + DCacheWays)
7351f0e2dc7SJiawei Lin  println("  DCacheBanks: " + DCacheBanks)
7361f0e2dc7SJiawei Lin  println("  DCacheSRAMRowBits: " + DCacheSRAMRowBits)
7371f0e2dc7SJiawei Lin  println("  DCacheWordOffset: " + DCacheWordOffset)
7381f0e2dc7SJiawei Lin  println("  DCacheBankOffset: " + DCacheBankOffset)
7391f0e2dc7SJiawei Lin  println("  DCacheSetOffset: " + DCacheSetOffset)
7401f0e2dc7SJiawei Lin  println("  DCacheTagOffset: " + DCacheTagOffset)
7411f0e2dc7SJiawei Lin  println("  DCacheAboveIndexOffset: " + DCacheAboveIndexOffset)
74204665835SMaxpicca-Li  println("  WPUEnable: " + dwpuParam.enWPU)
74304665835SMaxpicca-Li  println("  WPUEnableCfPred: " + dwpuParam.enCfPred)
74404665835SMaxpicca-Li  println("  WPUAlgorithm: " + dwpuParam.algoName)
7451f0e2dc7SJiawei Lin
7461f0e2dc7SJiawei Lin  //----------------------------------------
7471f0e2dc7SJiawei Lin  // core data structures
74804665835SMaxpicca-Li  val bankedDataArray = if(dwpuParam.enWPU) Module(new SramedDataArray) else Module(new BankedDataArray)
7493af6aa6eSWilliam Wang  val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2))
7503af6aa6eSWilliam Wang  val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2))
7513af6aa6eSWilliam Wang  val prefetchArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2)) // prefetch flag array
7523af6aa6eSWilliam Wang  val accessArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = LoadPipelineWidth + 2))
753ad3ba452Szhanglinjuan  val tagArray = Module(new DuplicatedTagArray(readPorts = LoadPipelineWidth + 1))
7541f0e2dc7SJiawei Lin  bankedDataArray.dump()
7551f0e2dc7SJiawei Lin
7561f0e2dc7SJiawei Lin  //----------------------------------------
7571f0e2dc7SJiawei Lin  // core modules
7581f0e2dc7SJiawei Lin  val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))})
75962cb71fbShappy-lx  // val atomicsReplayUnit = Module(new AtomicsReplayEntry)
7601f0e2dc7SJiawei Lin  val mainPipe   = Module(new MainPipe)
761ad3ba452Szhanglinjuan  val refillPipe = Module(new RefillPipe)
7621f0e2dc7SJiawei Lin  val missQueue  = Module(new MissQueue(edge))
7631f0e2dc7SJiawei Lin  val probeQueue = Module(new ProbeQueue(edge))
7641f0e2dc7SJiawei Lin  val wb         = Module(new WritebackQueue(edge))
7651f0e2dc7SJiawei Lin
7665668a921SJiawei Lin  missQueue.io.hartId := io.hartId
767f1d78cf7SLinJiawei  missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B)
7685668a921SJiawei Lin
7699ef181f4SWilliam Wang  val errors = ldu.map(_.io.error) ++ // load error
7709ef181f4SWilliam Wang    Seq(mainPipe.io.error) // store / misc error
7716786cfb7SWilliam Wang  io.error <> RegNext(Mux1H(errors.map(e => RegNext(e.valid) -> RegNext(e))))
772dd95524eSzhanglinjuan
7731f0e2dc7SJiawei Lin  //----------------------------------------
7741f0e2dc7SJiawei Lin  // meta array
7753af6aa6eSWilliam Wang
7763af6aa6eSWilliam Wang  // read / write coh meta
777ad3ba452Szhanglinjuan  val meta_read_ports = ldu.map(_.io.meta_read) ++
778026615fcSWilliam Wang    Seq(mainPipe.io.meta_read)
779ad3ba452Szhanglinjuan  val meta_resp_ports = ldu.map(_.io.meta_resp) ++
780026615fcSWilliam Wang    Seq(mainPipe.io.meta_resp)
781ad3ba452Szhanglinjuan  val meta_write_ports = Seq(
782ad3ba452Szhanglinjuan    mainPipe.io.meta_write,
783026615fcSWilliam Wang    refillPipe.io.meta_write
784ad3ba452Szhanglinjuan  )
785ad3ba452Szhanglinjuan  meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p }
786ad3ba452Szhanglinjuan  meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r }
787ad3ba452Szhanglinjuan  meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p }
7881f0e2dc7SJiawei Lin
7893af6aa6eSWilliam Wang  // read extra meta
790026615fcSWilliam Wang  meta_read_ports.zip(errorArray.io.read).foreach { case (p, r) => r <> p }
7913af6aa6eSWilliam Wang  meta_read_ports.zip(prefetchArray.io.read).foreach { case (p, r) => r <> p }
7923af6aa6eSWilliam Wang  meta_read_ports.zip(accessArray.io.read).foreach { case (p, r) => r <> p }
7933af6aa6eSWilliam Wang  val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp) ++
7943af6aa6eSWilliam Wang    Seq(mainPipe.io.extra_meta_resp)
7953af6aa6eSWilliam Wang  extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => {
7963af6aa6eSWilliam Wang    (0 until nWays).map(i => { p(i).error := r(i) })
7973af6aa6eSWilliam Wang  }}
7983af6aa6eSWilliam Wang  extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => {
7993af6aa6eSWilliam Wang    (0 until nWays).map(i => { p(i).prefetch := r(i) })
8003af6aa6eSWilliam Wang  }}
8013af6aa6eSWilliam Wang  extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => {
8023af6aa6eSWilliam Wang    (0 until nWays).map(i => { p(i).access := r(i) })
8033af6aa6eSWilliam Wang  }}
8043af6aa6eSWilliam Wang
8053af6aa6eSWilliam Wang  // write extra meta
8063af6aa6eSWilliam Wang  val error_flag_write_ports = Seq(
8073af6aa6eSWilliam Wang    mainPipe.io.error_flag_write, // error flag generated by corrupted store
8083af6aa6eSWilliam Wang    refillPipe.io.error_flag_write // corrupted signal from l2
8093af6aa6eSWilliam Wang  )
810026615fcSWilliam Wang  error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p }
811026615fcSWilliam Wang
8123af6aa6eSWilliam Wang  val prefetch_flag_write_ports = Seq(
8133af6aa6eSWilliam Wang    mainPipe.io.prefetch_flag_write, // set prefetch_flag to false if coh is set to Nothing
8143af6aa6eSWilliam Wang    refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag
8153af6aa6eSWilliam Wang  )
8163af6aa6eSWilliam Wang  prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p }
8173af6aa6eSWilliam Wang
8183af6aa6eSWilliam Wang  val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq(
8193af6aa6eSWilliam Wang    mainPipe.io.access_flag_write,
8203af6aa6eSWilliam Wang    refillPipe.io.access_flag_write
8213af6aa6eSWilliam Wang  )
8223af6aa6eSWilliam Wang  access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p }
8233af6aa6eSWilliam Wang
824ad3ba452Szhanglinjuan  //----------------------------------------
825ad3ba452Szhanglinjuan  // tag array
826ad3ba452Szhanglinjuan  require(tagArray.io.read.size == (ldu.size + 1))
82709ae47d2SWilliam Wang  val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend
82809ae47d2SWilliam Wang  assert(!RegNext(!tag_write_intend && tagArray.io.write.valid))
829ad3ba452Szhanglinjuan  ldu.zipWithIndex.foreach {
830ad3ba452Szhanglinjuan    case (ld, i) =>
831ad3ba452Szhanglinjuan      tagArray.io.read(i) <> ld.io.tag_read
832ad3ba452Szhanglinjuan      ld.io.tag_resp := tagArray.io.resp(i)
83309ae47d2SWilliam Wang      ld.io.tag_read.ready := !tag_write_intend
8341f0e2dc7SJiawei Lin  }
835ad3ba452Szhanglinjuan  tagArray.io.read.last <> mainPipe.io.tag_read
836ad3ba452Szhanglinjuan  mainPipe.io.tag_resp := tagArray.io.resp.last
837ad3ba452Szhanglinjuan
83809ae47d2SWilliam Wang  val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid))
83909ae47d2SWilliam Wang  XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle)
84009ae47d2SWilliam Wang
841ad3ba452Szhanglinjuan  val tag_write_arb = Module(new Arbiter(new TagWriteReq, 2))
842ad3ba452Szhanglinjuan  tag_write_arb.io.in(0) <> refillPipe.io.tag_write
843ad3ba452Szhanglinjuan  tag_write_arb.io.in(1) <> mainPipe.io.tag_write
844ad3ba452Szhanglinjuan  tagArray.io.write <> tag_write_arb.io.out
8451f0e2dc7SJiawei Lin
84604665835SMaxpicca-Li  ldu.map(m => {
84704665835SMaxpicca-Li    m.io.vtag_update.valid := tagArray.io.write.valid
84804665835SMaxpicca-Li    m.io.vtag_update.bits := tagArray.io.write.bits
84904665835SMaxpicca-Li  })
85004665835SMaxpicca-Li
8511f0e2dc7SJiawei Lin  //----------------------------------------
8521f0e2dc7SJiawei Lin  // data array
853d2b20d1aSTang Haojin  mainPipe.io.data_read.zip(ldu).map(x => x._1 := x._2.io.lsu.req.valid)
8541f0e2dc7SJiawei Lin
855ad3ba452Szhanglinjuan  val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 2))
856ad3ba452Szhanglinjuan  dataWriteArb.io.in(0) <> refillPipe.io.data_write
857ad3ba452Szhanglinjuan  dataWriteArb.io.in(1) <> mainPipe.io.data_write
858ad3ba452Szhanglinjuan
859ad3ba452Szhanglinjuan  bankedDataArray.io.write <> dataWriteArb.io.out
8601f0e2dc7SJiawei Lin
8616c7e5e86Szhanglinjuan  for (bank <- 0 until DCacheBanks) {
8626c7e5e86Szhanglinjuan    val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 2))
8636c7e5e86Szhanglinjuan    dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid
8646c7e5e86Szhanglinjuan    dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits
8656c7e5e86Szhanglinjuan    dataWriteArb_dup.io.in(1).valid := mainPipe.io.data_write_dup(bank).valid
8666c7e5e86Szhanglinjuan    dataWriteArb_dup.io.in(1).bits := mainPipe.io.data_write_dup(bank).bits
8676c7e5e86Szhanglinjuan
8686c7e5e86Szhanglinjuan    bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out
8696c7e5e86Szhanglinjuan  }
8706c7e5e86Szhanglinjuan
871d2b20d1aSTang Haojin  bankedDataArray.io.readline <> mainPipe.io.data_readline
8727a5caa97Szhanglinjuan  bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend
8736786cfb7SWilliam Wang  mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed
874144422dcSMaxpicca-Li  mainPipe.io.data_resp := bankedDataArray.io.readline_resp
8751f0e2dc7SJiawei Lin
8769ef181f4SWilliam Wang  (0 until LoadPipelineWidth).map(i => {
8779ef181f4SWilliam Wang    bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read
878cdbff57cSHaoyuan Feng    bankedDataArray.io.is128Req(i) <> ldu(i).io.is128Req
8796786cfb7SWilliam Wang    bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed
8809ef181f4SWilliam Wang
881144422dcSMaxpicca-Li    ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp_delayed(i)
882144422dcSMaxpicca-Li
8839ef181f4SWilliam Wang    ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i)
8849ef181f4SWilliam Wang  })
8851f0e2dc7SJiawei Lin
886774f100aSWilliam Wang  (0 until LoadPipelineWidth).map(i => {
887683c1411Shappy-lx    val (_, _, done, _) = edge.count(bus.d)
888683c1411Shappy-lx    when(bus.d.bits.opcode === TLMessages.GrantData) {
889683c1411Shappy-lx      io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done)
890683c1411Shappy-lx    }.otherwise {
891683c1411Shappy-lx      io.lsu.forward_D(i).dontCare()
892683c1411Shappy-lx    }
893683c1411Shappy-lx  })
8949444e131Ssfencevma  // tl D channel wakeup
8959444e131Ssfencevma  val (_, _, done, _) = edge.count(bus.d)
8969444e131Ssfencevma  when (bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.Grant) {
8979444e131Ssfencevma    io.lsu.tl_d_channel.apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done)
8989444e131Ssfencevma  } .otherwise {
8999444e131Ssfencevma    io.lsu.tl_d_channel.dontCare()
9009444e131Ssfencevma  }
9012fdb4d6aShappy-lx  mainPipe.io.force_write <> io.force_write
902683c1411Shappy-lx
90304665835SMaxpicca-Li  /** dwpu */
90404665835SMaxpicca-Li  val dwpu = Module(new DCacheWpuWrapper(LoadPipelineWidth))
90504665835SMaxpicca-Li  for(i <- 0 until LoadPipelineWidth){
90604665835SMaxpicca-Li    dwpu.io.req(i) <> ldu(i).io.dwpu.req(0)
90704665835SMaxpicca-Li    dwpu.io.resp(i) <> ldu(i).io.dwpu.resp(0)
90804665835SMaxpicca-Li    dwpu.io.lookup_upd(i) <> ldu(i).io.dwpu.lookup_upd(0)
90904665835SMaxpicca-Li    dwpu.io.cfpred(i) <> ldu(i).io.dwpu.cfpred(0)
91004665835SMaxpicca-Li  }
91104665835SMaxpicca-Li  dwpu.io.tagwrite_upd.valid := tagArray.io.write.valid
91204665835SMaxpicca-Li  dwpu.io.tagwrite_upd.bits.vaddr := tagArray.io.write.bits.vaddr
91304665835SMaxpicca-Li  dwpu.io.tagwrite_upd.bits.s1_real_way_en := tagArray.io.write.bits.way_en
91404665835SMaxpicca-Li
9151f0e2dc7SJiawei Lin  //----------------------------------------
9161f0e2dc7SJiawei Lin  // load pipe
9171f0e2dc7SJiawei Lin  // the s1 kill signal
9181f0e2dc7SJiawei Lin  // only lsu uses this, replay never kills
9191f0e2dc7SJiawei Lin  for (w <- 0 until LoadPipelineWidth) {
9201f0e2dc7SJiawei Lin    ldu(w).io.lsu <> io.lsu.load(w)
9211f0e2dc7SJiawei Lin
922cdbff57cSHaoyuan Feng    // TODO:when have load128Req
923cdbff57cSHaoyuan Feng    ldu(w).io.load128Req := false.B
924cdbff57cSHaoyuan Feng
9251f0e2dc7SJiawei Lin    // replay and nack not needed anymore
9261f0e2dc7SJiawei Lin    // TODO: remove replay and nack
9271f0e2dc7SJiawei Lin    ldu(w).io.nack := false.B
9281f0e2dc7SJiawei Lin
9291f0e2dc7SJiawei Lin    ldu(w).io.disable_ld_fast_wakeup :=
9307a5caa97Szhanglinjuan      bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict
9311f0e2dc7SJiawei Lin  }
9321f0e2dc7SJiawei Lin
933da3bf434SMaxpicca-Li  /** LoadMissDB: record load miss state */
934da3bf434SMaxpicca-Li  val isWriteLoadMissTable = WireInit(Constantin.createRecord("isWriteLoadMissTable" + p(XSCoreParamsKey).HartId.toString))
935da3bf434SMaxpicca-Li  val isFirstHitWrite = WireInit(Constantin.createRecord("isFirstHitWrite" + p(XSCoreParamsKey).HartId.toString))
936da3bf434SMaxpicca-Li  val tableName = "LoadMissDB" + p(XSCoreParamsKey).HartId.toString
937da3bf434SMaxpicca-Li  val siteName = "DcacheWrapper" + p(XSCoreParamsKey).HartId.toString
938da3bf434SMaxpicca-Li  val loadMissTable = ChiselDB.createTable(tableName, new LoadMissEntry)
939da3bf434SMaxpicca-Li  for( i <- 0 until LoadPipelineWidth){
940da3bf434SMaxpicca-Li    val loadMissEntry = Wire(new LoadMissEntry)
941da3bf434SMaxpicca-Li    val loadMissWriteEn =
942da3bf434SMaxpicca-Li      (!ldu(i).io.lsu.resp.bits.replay && ldu(i).io.miss_req.fire) ||
943da3bf434SMaxpicca-Li      (ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid && isFirstHitWrite.orR)
944da3bf434SMaxpicca-Li    loadMissEntry.timeCnt := GTimer()
945da3bf434SMaxpicca-Li    loadMissEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx
946da3bf434SMaxpicca-Li    loadMissEntry.paddr := ldu(i).io.miss_req.bits.addr
947da3bf434SMaxpicca-Li    loadMissEntry.vaddr := ldu(i).io.miss_req.bits.vaddr
948da3bf434SMaxpicca-Li    loadMissEntry.missState := OHToUInt(Cat(Seq(
949da3bf434SMaxpicca-Li      ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged,
950da3bf434SMaxpicca-Li      ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged,
951da3bf434SMaxpicca-Li      ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid
952da3bf434SMaxpicca-Li    )))
953da3bf434SMaxpicca-Li    loadMissTable.log(
954da3bf434SMaxpicca-Li      data = loadMissEntry,
955da3bf434SMaxpicca-Li      en = isWriteLoadMissTable.orR && loadMissWriteEn,
956da3bf434SMaxpicca-Li      site = siteName,
957da3bf434SMaxpicca-Li      clock = clock,
958da3bf434SMaxpicca-Li      reset = reset
959da3bf434SMaxpicca-Li    )
960da3bf434SMaxpicca-Li  }
961da3bf434SMaxpicca-Li
96204665835SMaxpicca-Li  val isWriteLoadAccessTable = WireInit(Constantin.createRecord("isWriteLoadAccessTable" + p(XSCoreParamsKey).HartId.toString))
96304665835SMaxpicca-Li  val loadAccessTable = ChiselDB.createTable("LoadAccessDB" + p(XSCoreParamsKey).HartId.toString, new LoadAccessEntry)
96404665835SMaxpicca-Li  for (i <- 0 until LoadPipelineWidth) {
96504665835SMaxpicca-Li    val loadAccessEntry = Wire(new LoadAccessEntry)
96604665835SMaxpicca-Li    loadAccessEntry.timeCnt := GTimer()
96704665835SMaxpicca-Li    loadAccessEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx
96804665835SMaxpicca-Li    loadAccessEntry.paddr := ldu(i).io.miss_req.bits.addr
96904665835SMaxpicca-Li    loadAccessEntry.vaddr := ldu(i).io.miss_req.bits.vaddr
97004665835SMaxpicca-Li    loadAccessEntry.missState := OHToUInt(Cat(Seq(
97104665835SMaxpicca-Li      ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged,
97204665835SMaxpicca-Li      ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged,
97304665835SMaxpicca-Li      ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid
97404665835SMaxpicca-Li    )))
97504665835SMaxpicca-Li    loadAccessEntry.pred_way_num := ldu(i).io.lsu.debug_s2_pred_way_num
97604665835SMaxpicca-Li    loadAccessEntry.real_way_num := ldu(i).io.lsu.debug_s2_real_way_num
97704665835SMaxpicca-Li    loadAccessEntry.dm_way_num := ldu(i).io.lsu.debug_s2_dm_way_num
97804665835SMaxpicca-Li    loadAccessTable.log(
97904665835SMaxpicca-Li      data = loadAccessEntry,
98004665835SMaxpicca-Li      en = isWriteLoadAccessTable.orR && ldu(i).io.lsu.resp.valid,
98104665835SMaxpicca-Li      site = siteName + "_loadpipe" + i.toString,
98204665835SMaxpicca-Li      clock = clock,
98304665835SMaxpicca-Li      reset = reset
98404665835SMaxpicca-Li    )
98504665835SMaxpicca-Li  }
98604665835SMaxpicca-Li
9871f0e2dc7SJiawei Lin  //----------------------------------------
9881f0e2dc7SJiawei Lin  // atomics
9891f0e2dc7SJiawei Lin  // atomics not finished yet
99062cb71fbShappy-lx  // io.lsu.atomics <> atomicsReplayUnit.io.lsu
99162cb71fbShappy-lx  io.lsu.atomics.resp := RegNext(mainPipe.io.atomic_resp)
99262cb71fbShappy-lx  io.lsu.atomics.block_lr := mainPipe.io.block_lr
99362cb71fbShappy-lx  // atomicsReplayUnit.io.pipe_resp := RegNext(mainPipe.io.atomic_resp)
99462cb71fbShappy-lx  // atomicsReplayUnit.io.block_lr <> mainPipe.io.block_lr
9951f0e2dc7SJiawei Lin
9961f0e2dc7SJiawei Lin  //----------------------------------------
9971f0e2dc7SJiawei Lin  // miss queue
9981f0e2dc7SJiawei Lin  val MissReqPortCount = LoadPipelineWidth + 1
9991f0e2dc7SJiawei Lin  val MainPipeMissReqPort = 0
10001f0e2dc7SJiawei Lin
10011f0e2dc7SJiawei Lin  // Request
10026008d57dShappy-lx  val missReqArb = Module(new ArbiterFilterByCacheLineAddr(new MissReq, MissReqPortCount, blockOffBits, PAddrBits))
10031f0e2dc7SJiawei Lin
1004a98b054bSWilliam Wang  missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req
10051f0e2dc7SJiawei Lin  for (w <- 0 until LoadPipelineWidth) { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req }
10061f0e2dc7SJiawei Lin
1007fa9ac9b6SWilliam Wang  for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp := missQueue.io.resp }
1008fa9ac9b6SWilliam Wang  mainPipe.io.miss_resp := missQueue.io.resp
1009683c1411Shappy-lx
10101f0e2dc7SJiawei Lin  wb.io.miss_req.valid := missReqArb.io.out.valid
10111f0e2dc7SJiawei Lin  wb.io.miss_req.bits  := missReqArb.io.out.bits.addr
10121f0e2dc7SJiawei Lin
1013a98b054bSWilliam Wang  // block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req)
1014a98b054bSWilliam Wang  missReqArb.io.out <> missQueue.io.req
1015a98b054bSWilliam Wang  when(wb.io.block_miss_req) {
1016a98b054bSWilliam Wang    missQueue.io.req.bits.cancel := true.B
1017a98b054bSWilliam Wang    missReqArb.io.out.ready := false.B
1018a98b054bSWilliam Wang  }
10191f0e2dc7SJiawei Lin
1020e50f3145Ssfencevma  for (w <- 0 until LoadPipelineWidth) { ldu(w).io.mq_enq_cancel := missQueue.io.mq_enq_cancel }
1021e50f3145Ssfencevma
10226008d57dShappy-lx  XSPerfAccumulate("miss_queue_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) >= 1.U)
10236008d57dShappy-lx  XSPerfAccumulate("miss_queue_muti_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) > 1.U)
10246b5c3d02Shappy-lx
10256b5c3d02Shappy-lx  XSPerfAccumulate("miss_queue_has_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) >= 1.U)
10266b5c3d02Shappy-lx  XSPerfAccumulate("miss_queue_has_muti_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U)
10276b5c3d02Shappy-lx  XSPerfAccumulate("miss_queue_has_muti_enq_but_not_fire", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U && PopCount(VecInit(missReqArb.io.in.map(_.fire))) === 0.U)
10286008d57dShappy-lx
1029683c1411Shappy-lx  // forward missqueue
1030683c1411Shappy-lx  (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i)))
1031683c1411Shappy-lx
10321f0e2dc7SJiawei Lin  // refill to load queue
1033ad3ba452Szhanglinjuan  io.lsu.lsq <> missQueue.io.refill_to_ldq
10341f0e2dc7SJiawei Lin
10351f0e2dc7SJiawei Lin  // tilelink stuff
10361f0e2dc7SJiawei Lin  bus.a <> missQueue.io.mem_acquire
10371f0e2dc7SJiawei Lin  bus.e <> missQueue.io.mem_finish
1038ad3ba452Szhanglinjuan  missQueue.io.probe_addr := bus.b.bits.address
1039ad3ba452Szhanglinjuan
1040a98b054bSWilliam Wang  missQueue.io.main_pipe_resp := RegNext(mainPipe.io.atomic_resp)
10411f0e2dc7SJiawei Lin
10421f0e2dc7SJiawei Lin  //----------------------------------------
10431f0e2dc7SJiawei Lin  // probe
10441f0e2dc7SJiawei Lin  // probeQueue.io.mem_probe <> bus.b
10451f0e2dc7SJiawei Lin  block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block)
1046ad3ba452Szhanglinjuan  probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block
1047300ded30SWilliam Wang  probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set
10481f0e2dc7SJiawei Lin
10491f0e2dc7SJiawei Lin  //----------------------------------------
10501f0e2dc7SJiawei Lin  // mainPipe
1051ad3ba452Szhanglinjuan  // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe,
1052ad3ba452Szhanglinjuan  // block the req in main pipe
1053219c4595Szhanglinjuan  block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, missQueue.io.refill_pipe_req.valid)
1054b36dd5fdSWilliam Wang  block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refillPipe.io.req.valid)
10551f0e2dc7SJiawei Lin
1056a98b054bSWilliam Wang  io.lsu.store.replay_resp := RegNext(mainPipe.io.store_replay_resp)
1057ad3ba452Szhanglinjuan  io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp
10581f0e2dc7SJiawei Lin
105969790076Szhanglinjuan  arbiter_with_pipereg(
106062cb71fbShappy-lx    in = Seq(missQueue.io.main_pipe_req, io.lsu.atomics.req),
106169790076Szhanglinjuan    out = mainPipe.io.atomic_req,
106269790076Szhanglinjuan    name = Some("main_pipe_atomic_req")
106369790076Szhanglinjuan  )
10641f0e2dc7SJiawei Lin
1065a98b054bSWilliam Wang  mainPipe.io.invalid_resv_set := RegNext(wb.io.req.fire && wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits)
10661f0e2dc7SJiawei Lin
1067ad3ba452Szhanglinjuan  //----------------------------------------
1068b36dd5fdSWilliam Wang  // replace (main pipe)
1069ad3ba452Szhanglinjuan  val mpStatus = mainPipe.io.status
1070578c21a4Szhanglinjuan  mainPipe.io.replace_req <> missQueue.io.replace_pipe_req
1071578c21a4Szhanglinjuan  missQueue.io.replace_pipe_resp := mainPipe.io.replace_resp
10721f0e2dc7SJiawei Lin
1073ad3ba452Szhanglinjuan  //----------------------------------------
1074ad3ba452Szhanglinjuan  // refill pipe
107563540aa5Szhanglinjuan  val refillShouldBeBlocked = (mpStatus.s1.valid && mpStatus.s1.bits.set === missQueue.io.refill_pipe_req.bits.idx) ||
107663540aa5Szhanglinjuan    Cat(Seq(mpStatus.s2, mpStatus.s3).map(s =>
1077ad3ba452Szhanglinjuan      s.valid &&
1078ad3ba452Szhanglinjuan        s.bits.set === missQueue.io.refill_pipe_req.bits.idx &&
1079ad3ba452Szhanglinjuan        s.bits.way_en === missQueue.io.refill_pipe_req.bits.way_en
1080ad3ba452Szhanglinjuan    )).orR
1081ad3ba452Szhanglinjuan  block_decoupled(missQueue.io.refill_pipe_req, refillPipe.io.req, refillShouldBeBlocked)
1082c3a5fe5fShappy-lx
1083c3a5fe5fShappy-lx  val mpStatus_dup = mainPipe.io.status_dup
1084c3a5fe5fShappy-lx  val mq_refill_dup = missQueue.io.refill_pipe_req_dup
1085c3a5fe5fShappy-lx  val refillShouldBeBlocked_dup = VecInit((0 until nDupStatus).map { case i =>
1086c3a5fe5fShappy-lx    mpStatus_dup(i).s1.valid && mpStatus_dup(i).s1.bits.set === mq_refill_dup(i).bits.idx ||
1087c3a5fe5fShappy-lx    Cat(Seq(mpStatus_dup(i).s2, mpStatus_dup(i).s3).map(s =>
1088c3a5fe5fShappy-lx      s.valid &&
1089c3a5fe5fShappy-lx        s.bits.set === mq_refill_dup(i).bits.idx &&
1090c3a5fe5fShappy-lx        s.bits.way_en === mq_refill_dup(i).bits.way_en
1091c3a5fe5fShappy-lx    )).orR
1092c3a5fe5fShappy-lx  })
1093c3a5fe5fShappy-lx  dontTouch(refillShouldBeBlocked_dup)
1094c3a5fe5fShappy-lx
10956c7e5e86Szhanglinjuan  refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) =>
10966c7e5e86Szhanglinjuan    r.bits := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).bits
10976c7e5e86Szhanglinjuan  }
10986c7e5e86Szhanglinjuan  refillPipe.io.req_dup_for_meta_w.bits := mq_refill_dup(metaWritePort).bits
10996c7e5e86Szhanglinjuan  refillPipe.io.req_dup_for_tag_w.bits := mq_refill_dup(tagWritePort).bits
11006c7e5e86Szhanglinjuan  refillPipe.io.req_dup_for_err_w.bits := mq_refill_dup(errWritePort).bits
11016c7e5e86Szhanglinjuan  refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) =>
11026c7e5e86Szhanglinjuan    r.valid := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).valid &&
11036c7e5e86Szhanglinjuan      !(refillShouldBeBlocked_dup.drop(dataWritePort).take(DCacheBanks))(i)
11046c7e5e86Szhanglinjuan  }
11056c7e5e86Szhanglinjuan  refillPipe.io.req_dup_for_meta_w.valid := mq_refill_dup(metaWritePort).valid && !refillShouldBeBlocked_dup(metaWritePort)
11066c7e5e86Szhanglinjuan  refillPipe.io.req_dup_for_tag_w.valid := mq_refill_dup(tagWritePort).valid && !refillShouldBeBlocked_dup(tagWritePort)
11076c7e5e86Szhanglinjuan  refillPipe.io.req_dup_for_err_w.valid := mq_refill_dup(errWritePort).valid && !refillShouldBeBlocked_dup(errWritePort)
1108c3a5fe5fShappy-lx
1109c3a5fe5fShappy-lx  val refillPipe_io_req_valid_dup = VecInit(mq_refill_dup.zip(refillShouldBeBlocked_dup).map(
1110c3a5fe5fShappy-lx    x => x._1.valid && !x._2
1111c3a5fe5fShappy-lx  ))
1112c3a5fe5fShappy-lx  val refillPipe_io_data_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(0, nDupDataWriteReady))
11136c7e5e86Szhanglinjuan  val refillPipe_io_tag_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(nDupDataWriteReady, nDupStatus))
1114c3a5fe5fShappy-lx  dontTouch(refillPipe_io_req_valid_dup)
1115c3a5fe5fShappy-lx  dontTouch(refillPipe_io_data_write_valid_dup)
1116c3a5fe5fShappy-lx  dontTouch(refillPipe_io_tag_write_valid_dup)
1117c3a5fe5fShappy-lx  mainPipe.io.data_write_ready_dup := VecInit(refillPipe_io_data_write_valid_dup.map(v => !v))
1118c3a5fe5fShappy-lx  mainPipe.io.tag_write_ready_dup := VecInit(refillPipe_io_tag_write_valid_dup.map(v => !v))
1119c3a5fe5fShappy-lx  mainPipe.io.wb_ready_dup := wb.io.req_ready_dup
1120c3a5fe5fShappy-lx
1121c3a5fe5fShappy-lx  mq_refill_dup.zip(refillShouldBeBlocked_dup).foreach { case (r, block) =>
1122c3a5fe5fShappy-lx    r.ready := refillPipe.io.req.ready && !block
1123c3a5fe5fShappy-lx  }
1124c3a5fe5fShappy-lx
112554e42658SWilliam Wang  missQueue.io.refill_pipe_resp := refillPipe.io.resp
1126a98b054bSWilliam Wang  io.lsu.store.refill_hit_resp := RegNext(refillPipe.io.store_resp)
11271f0e2dc7SJiawei Lin
11281f0e2dc7SJiawei Lin  //----------------------------------------
11291f0e2dc7SJiawei Lin  // wb
11301f0e2dc7SJiawei Lin  // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy
1131026615fcSWilliam Wang
1132578c21a4Szhanglinjuan  wb.io.req <> mainPipe.io.wb
11331f0e2dc7SJiawei Lin  bus.c     <> wb.io.mem_release
1134ad3ba452Szhanglinjuan  wb.io.release_wakeup := refillPipe.io.release_wakeup
1135ad3ba452Szhanglinjuan  wb.io.release_update := mainPipe.io.release_update
1136b8f6ff86SWilliam Wang  wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req
1137b8f6ff86SWilliam Wang  wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp
1138ef3b5b96SWilliam Wang
1139ef3b5b96SWilliam Wang  io.lsu.release.valid := RegNext(wb.io.req.fire())
1140ef3b5b96SWilliam Wang  io.lsu.release.bits.paddr := RegNext(wb.io.req.bits.addr)
1141ef3b5b96SWilliam Wang  // Note: RegNext() is required by:
1142ef3b5b96SWilliam Wang  // * load queue released flag update logic
1143ef3b5b96SWilliam Wang  // * load / load violation check logic
1144ef3b5b96SWilliam Wang  // * and timing requirements
1145ef3b5b96SWilliam Wang  // CHANGE IT WITH CARE
11461f0e2dc7SJiawei Lin
11471f0e2dc7SJiawei Lin  // connect bus d
11481f0e2dc7SJiawei Lin  missQueue.io.mem_grant.valid := false.B
11491f0e2dc7SJiawei Lin  missQueue.io.mem_grant.bits  := DontCare
11501f0e2dc7SJiawei Lin
11511f0e2dc7SJiawei Lin  wb.io.mem_grant.valid := false.B
11521f0e2dc7SJiawei Lin  wb.io.mem_grant.bits  := DontCare
11531f0e2dc7SJiawei Lin
11541f0e2dc7SJiawei Lin  // in L1DCache, we ony expect Grant[Data] and ReleaseAck
11551f0e2dc7SJiawei Lin  bus.d.ready := false.B
11561f0e2dc7SJiawei Lin  when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) {
11571f0e2dc7SJiawei Lin    missQueue.io.mem_grant <> bus.d
11581f0e2dc7SJiawei Lin  } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) {
11591f0e2dc7SJiawei Lin    wb.io.mem_grant <> bus.d
11601f0e2dc7SJiawei Lin  } .otherwise {
11611f0e2dc7SJiawei Lin    assert (!bus.d.fire())
11621f0e2dc7SJiawei Lin  }
11631f0e2dc7SJiawei Lin
11641f0e2dc7SJiawei Lin  //----------------------------------------
1165ad3ba452Szhanglinjuan  // replacement algorithm
1166ad3ba452Szhanglinjuan  val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets)
1167ad3ba452Szhanglinjuan  val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way)
116804665835SMaxpicca-Li
116904665835SMaxpicca-Li  val victimList = VictimList(nSets)
117004665835SMaxpicca-Li  if (dwpuParam.enCfPred) {
117104665835SMaxpicca-Li    when(missQueue.io.replace_pipe_req.valid) {
117204665835SMaxpicca-Li      victimList.replace(get_idx(missQueue.io.replace_pipe_req.bits.vaddr))
117304665835SMaxpicca-Li    }
1174ad3ba452Szhanglinjuan    replWayReqs.foreach {
1175ad3ba452Szhanglinjuan      case req =>
1176ad3ba452Szhanglinjuan        req.way := DontCare
117704665835SMaxpicca-Li        when(req.set.valid) {
117804665835SMaxpicca-Li          when(victimList.whether_sa(req.set.bits)) {
117904665835SMaxpicca-Li            req.way := replacer.way(req.set.bits)
118004665835SMaxpicca-Li          }.otherwise {
118104665835SMaxpicca-Li            req.way := req.dmWay
118204665835SMaxpicca-Li          }
118304665835SMaxpicca-Li        }
118404665835SMaxpicca-Li    }
118504665835SMaxpicca-Li  } else {
118604665835SMaxpicca-Li    replWayReqs.foreach {
118704665835SMaxpicca-Li      case req =>
118804665835SMaxpicca-Li        req.way := DontCare
118904665835SMaxpicca-Li        when(req.set.valid) {
119004665835SMaxpicca-Li          req.way := replacer.way(req.set.bits)
119104665835SMaxpicca-Li        }
119204665835SMaxpicca-Li    }
1193ad3ba452Szhanglinjuan  }
1194ad3ba452Szhanglinjuan
1195ad3ba452Szhanglinjuan  val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq(
119692816bbcSWilliam Wang    mainPipe.io.replace_access
1197ad3ba452Szhanglinjuan  )
1198ad3ba452Szhanglinjuan  val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W))))
1199ad3ba452Szhanglinjuan  touchWays.zip(replAccessReqs).foreach {
1200ad3ba452Szhanglinjuan    case (w, req) =>
1201ad3ba452Szhanglinjuan      w.valid := req.valid
1202ad3ba452Szhanglinjuan      w.bits := req.bits.way
1203ad3ba452Szhanglinjuan  }
1204ad3ba452Szhanglinjuan  val touchSets = replAccessReqs.map(_.bits.set)
1205ad3ba452Szhanglinjuan  replacer.access(touchSets, touchWays)
1206ad3ba452Szhanglinjuan
1207ad3ba452Szhanglinjuan  //----------------------------------------
12081f0e2dc7SJiawei Lin  // assertions
12091f0e2dc7SJiawei Lin  // dcache should only deal with DRAM addresses
12101f0e2dc7SJiawei Lin  when (bus.a.fire()) {
12111f0e2dc7SJiawei Lin    assert(bus.a.bits.address >= 0x80000000L.U)
12121f0e2dc7SJiawei Lin  }
12131f0e2dc7SJiawei Lin  when (bus.b.fire()) {
12141f0e2dc7SJiawei Lin    assert(bus.b.bits.address >= 0x80000000L.U)
12151f0e2dc7SJiawei Lin  }
12161f0e2dc7SJiawei Lin  when (bus.c.fire()) {
12171f0e2dc7SJiawei Lin    assert(bus.c.bits.address >= 0x80000000L.U)
12181f0e2dc7SJiawei Lin  }
12191f0e2dc7SJiawei Lin
12201f0e2dc7SJiawei Lin  //----------------------------------------
12211f0e2dc7SJiawei Lin  // utility functions
12221f0e2dc7SJiawei Lin  def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = {
12231f0e2dc7SJiawei Lin    sink.valid   := source.valid && !block_signal
12241f0e2dc7SJiawei Lin    source.ready := sink.ready   && !block_signal
12251f0e2dc7SJiawei Lin    sink.bits    := source.bits
12261f0e2dc7SJiawei Lin  }
12271f0e2dc7SJiawei Lin
12281f0e2dc7SJiawei Lin  //----------------------------------------
1229e19f7967SWilliam Wang  // Customized csr cache op support
1230e19f7967SWilliam Wang  val cacheOpDecoder = Module(new CSRCacheOpDecoder("dcache", CacheInstrucion.COP_ID_DCACHE))
1231e19f7967SWilliam Wang  cacheOpDecoder.io.csr <> io.csr
1232c3a5fe5fShappy-lx  bankedDataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
1233c3a5fe5fShappy-lx  // dup cacheOp_req_valid
1234779109e3Slixin  bankedDataArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) }
1235c3a5fe5fShappy-lx  // dup cacheOp_req_bits_opCode
1236779109e3Slixin  bankedDataArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) }
1237c3a5fe5fShappy-lx
1238e19f7967SWilliam Wang  tagArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
1239c3a5fe5fShappy-lx  // dup cacheOp_req_valid
1240779109e3Slixin  tagArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) }
1241c3a5fe5fShappy-lx  // dup cacheOp_req_bits_opCode
1242779109e3Slixin  tagArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) }
1243e47fc57cSlixin
1244e19f7967SWilliam Wang  cacheOpDecoder.io.cache.resp.valid := bankedDataArray.io.cacheOp.resp.valid ||
1245e19f7967SWilliam Wang    tagArray.io.cacheOp.resp.valid
1246e19f7967SWilliam Wang  cacheOpDecoder.io.cache.resp.bits := Mux1H(List(
1247e19f7967SWilliam Wang    bankedDataArray.io.cacheOp.resp.valid -> bankedDataArray.io.cacheOp.resp.bits,
1248e19f7967SWilliam Wang    tagArray.io.cacheOp.resp.valid -> tagArray.io.cacheOp.resp.bits,
1249e19f7967SWilliam Wang  ))
1250026615fcSWilliam Wang  cacheOpDecoder.io.error := io.error
125141b68474SWilliam Wang  assert(!((bankedDataArray.io.cacheOp.resp.valid +& tagArray.io.cacheOp.resp.valid) > 1.U))
1252e19f7967SWilliam Wang
1253e19f7967SWilliam Wang  //----------------------------------------
12541f0e2dc7SJiawei Lin  // performance counters
12551f0e2dc7SJiawei Lin  val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire()))
12561f0e2dc7SJiawei Lin  XSPerfAccumulate("num_loads", num_loads)
12571f0e2dc7SJiawei Lin
12581f0e2dc7SJiawei Lin  io.mshrFull := missQueue.io.full
1259ad3ba452Szhanglinjuan
1260ad3ba452Szhanglinjuan  // performance counter
1261ad3ba452Szhanglinjuan  val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType))
1262ad3ba452Szhanglinjuan  val st_access = Wire(ld_access.last.cloneType)
1263ad3ba452Szhanglinjuan  ld_access.zip(ldu).foreach {
1264ad3ba452Szhanglinjuan    case (a, u) =>
1265ad3ba452Szhanglinjuan      a.valid := RegNext(u.io.lsu.req.fire()) && !u.io.lsu.s1_kill
1266d2b20d1aSTang Haojin      a.bits.idx := RegNext(get_idx(u.io.lsu.req.bits.vaddr))
126703efd994Shappy-lx      a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache)
1268ad3ba452Szhanglinjuan  }
1269ad3ba452Szhanglinjuan  st_access.valid := RegNext(mainPipe.io.store_req.fire())
1270ad3ba452Szhanglinjuan  st_access.bits.idx := RegNext(get_idx(mainPipe.io.store_req.bits.vaddr))
1271ad3ba452Szhanglinjuan  st_access.bits.tag := RegNext(get_tag(mainPipe.io.store_req.bits.addr))
1272ad3ba452Szhanglinjuan  val access_info = ld_access.toSeq ++ Seq(st_access)
1273ad3ba452Szhanglinjuan  val early_replace = RegNext(missQueue.io.debug_early_replace)
1274ad3ba452Szhanglinjuan  val access_early_replace = access_info.map {
1275ad3ba452Szhanglinjuan    case acc =>
1276ad3ba452Szhanglinjuan      Cat(early_replace.map {
1277ad3ba452Szhanglinjuan        case r =>
1278ad3ba452Szhanglinjuan          acc.valid && r.valid &&
1279ad3ba452Szhanglinjuan            acc.bits.tag === r.bits.tag &&
1280ad3ba452Szhanglinjuan            acc.bits.idx === r.bits.idx
1281ad3ba452Szhanglinjuan      })
1282ad3ba452Szhanglinjuan  }
1283ad3ba452Szhanglinjuan  XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace)))
1284cd365d4cSrvcoresjw
12851ca0e4f3SYinan Xu  val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents)
12861ca0e4f3SYinan Xu  generatePerfEvent()
12871f0e2dc7SJiawei Lin}
12881f0e2dc7SJiawei Lin
12891f0e2dc7SJiawei Linclass AMOHelper() extends ExtModule {
12901f0e2dc7SJiawei Lin  val clock  = IO(Input(Clock()))
12911f0e2dc7SJiawei Lin  val enable = IO(Input(Bool()))
12921f0e2dc7SJiawei Lin  val cmd    = IO(Input(UInt(5.W)))
12931f0e2dc7SJiawei Lin  val addr   = IO(Input(UInt(64.W)))
12941f0e2dc7SJiawei Lin  val wdata  = IO(Input(UInt(64.W)))
12951f0e2dc7SJiawei Lin  val mask   = IO(Input(UInt(8.W)))
12961f0e2dc7SJiawei Lin  val rdata  = IO(Output(UInt(64.W)))
12971f0e2dc7SJiawei Lin}
12981f0e2dc7SJiawei Lin
12994f94c0c6SJiawei Linclass DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter {
13001f0e2dc7SJiawei Lin
13014f94c0c6SJiawei Lin  val useDcache = coreParams.dcacheParametersOpt.nonEmpty
13024f94c0c6SJiawei Lin  val clientNode = if (useDcache) TLIdentityNode() else null
13034f94c0c6SJiawei Lin  val dcache = if (useDcache) LazyModule(new DCache()) else null
13044f94c0c6SJiawei Lin  if (useDcache) {
13051f0e2dc7SJiawei Lin    clientNode := dcache.clientNode
13061f0e2dc7SJiawei Lin  }
13071f0e2dc7SJiawei Lin
13081ca0e4f3SYinan Xu  lazy val module = new LazyModuleImp(this) with HasPerfEvents {
13091f0e2dc7SJiawei Lin    val io = IO(new DCacheIO)
13101ca0e4f3SYinan Xu    val perfEvents = if (!useDcache) {
13114f94c0c6SJiawei Lin      // a fake dcache which uses dpi-c to access memory, only for debug usage!
13121f0e2dc7SJiawei Lin      val fake_dcache = Module(new FakeDCache())
13131f0e2dc7SJiawei Lin      io <> fake_dcache.io
13141ca0e4f3SYinan Xu      Seq()
13151f0e2dc7SJiawei Lin    }
13161f0e2dc7SJiawei Lin    else {
13171f0e2dc7SJiawei Lin      io <> dcache.module.io
13181ca0e4f3SYinan Xu      dcache.module.getPerfEvents
13191f0e2dc7SJiawei Lin    }
13201ca0e4f3SYinan Xu    generatePerfEvent()
13211f0e2dc7SJiawei Lin  }
13221f0e2dc7SJiawei Lin}
1323