11f0e2dc7SJiawei Lin/*************************************************************************************** 21f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Peng Cheng Laboratory 41f0e2dc7SJiawei Lin* 51f0e2dc7SJiawei Lin* XiangShan is licensed under Mulan PSL v2. 61f0e2dc7SJiawei Lin* You can use this software according to the terms and conditions of the Mulan PSL v2. 71f0e2dc7SJiawei Lin* You may obtain a copy of Mulan PSL v2 at: 81f0e2dc7SJiawei Lin* http://license.coscl.org.cn/MulanPSL2 91f0e2dc7SJiawei Lin* 101f0e2dc7SJiawei Lin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111f0e2dc7SJiawei Lin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121f0e2dc7SJiawei Lin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131f0e2dc7SJiawei Lin* 141f0e2dc7SJiawei Lin* See the Mulan PSL v2 for more details. 151f0e2dc7SJiawei Lin***************************************************************************************/ 161f0e2dc7SJiawei Lin 171f0e2dc7SJiawei Linpackage xiangshan.cache 181f0e2dc7SJiawei Lin 191f0e2dc7SJiawei Linimport chisel3._ 201f0e2dc7SJiawei Linimport chisel3.experimental.ExtModule 211f0e2dc7SJiawei Linimport chisel3.util._ 227f37d55fSTang Haojinimport coupledL2.VaddrField 23d2945707SHuijin Liimport coupledL2.IsKeywordField 24d2945707SHuijin Liimport coupledL2.IsKeywordKey 25*72dab974Scz4eimport freechips.rocketchip.diplomacy._ 261f0e2dc7SJiawei Linimport freechips.rocketchip.tilelink._ 277f37d55fSTang Haojinimport freechips.rocketchip.util.BundleFieldBase 287f37d55fSTang Haojinimport huancun.{AliasField, PrefetchField} 297f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters 307f37d55fSTang Haojinimport utility._ 317f37d55fSTang Haojinimport utils._ 327f37d55fSTang Haojinimport xiangshan._ 339ae95edaSAnzoooooimport xiangshan.backend.Bundles.DynInst 347f37d55fSTang Haojinimport xiangshan.backend.rob.RobDebugRollingIO 3504665835SMaxpicca-Liimport xiangshan.cache.wpu._ 367f37d55fSTang Haojinimport xiangshan.mem.{AddPipelineReg, HasL1PrefetchSourceParameter} 370d32f713Shappy-lximport xiangshan.mem.prefetch._ 38d2945707SHuijin Liimport xiangshan.mem.LqPtr 395668a921SJiawei Lin 401f0e2dc7SJiawei Lin// DCache specific parameters 411f0e2dc7SJiawei Lincase class DCacheParameters 421f0e2dc7SJiawei Lin( 4320e09ab1Shappy-lx nSets: Int = 128, 441f0e2dc7SJiawei Lin nWays: Int = 8, 45af22dd7cSWilliam Wang rowBits: Int = 64, 461f0e2dc7SJiawei Lin tagECC: Option[String] = None, 471f0e2dc7SJiawei Lin dataECC: Option[String] = None, 48300ded30SWilliam Wang replacer: Option[String] = Some("setplru"), 49fa9ac9b6SWilliam Wang updateReplaceOn2ndmiss: Boolean = true, 501f0e2dc7SJiawei Lin nMissEntries: Int = 1, 511f0e2dc7SJiawei Lin nProbeEntries: Int = 1, 521f0e2dc7SJiawei Lin nReleaseEntries: Int = 1, 531f0e2dc7SJiawei Lin nMMIOEntries: Int = 1, 541f0e2dc7SJiawei Lin nMMIOs: Int = 1, 55fddcfe1fSwakafa blockBytes: Int = 64, 560d32f713Shappy-lx nMaxPrefetchEntry: Int = 1, 57d2945707SHuijin Li alwaysReleaseData: Boolean = false, 5831d5a9c4Ssfencevma isKeywordBitsOpt: Option[Boolean] = Some(true), 5931d5a9c4Ssfencevma enableDataEcc: Boolean = false, 60*72dab974Scz4e enableTagEcc: Boolean = false, 61*72dab974Scz4e cacheCtrlAddressOpt: Option[AddressSet] = None, 621f0e2dc7SJiawei Lin) extends L1CacheParameters { 631f0e2dc7SJiawei Lin // if sets * blockBytes > 4KB(page size), 641f0e2dc7SJiawei Lin // cache alias will happen, 651f0e2dc7SJiawei Lin // we need to avoid this by recoding additional bits in L2 cache 661f0e2dc7SJiawei Lin val setBytes = nSets * blockBytes 671f0e2dc7SJiawei Lin val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 681f0e2dc7SJiawei Lin 691f0e2dc7SJiawei Lin def tagCode: Code = Code.fromString(tagECC) 701f0e2dc7SJiawei Lin 711f0e2dc7SJiawei Lin def dataCode: Code = Code.fromString(dataECC) 721f0e2dc7SJiawei Lin} 731f0e2dc7SJiawei Lin 741f0e2dc7SJiawei Lin// Physical Address 751f0e2dc7SJiawei Lin// -------------------------------------- 761f0e2dc7SJiawei Lin// | Physical Tag | PIndex | Offset | 771f0e2dc7SJiawei Lin// -------------------------------------- 781f0e2dc7SJiawei Lin// | 791f0e2dc7SJiawei Lin// DCacheTagOffset 801f0e2dc7SJiawei Lin// 811f0e2dc7SJiawei Lin// Virtual Address 821f0e2dc7SJiawei Lin// -------------------------------------- 831f0e2dc7SJiawei Lin// | Above index | Set | Bank | Offset | 841f0e2dc7SJiawei Lin// -------------------------------------- 851f0e2dc7SJiawei Lin// | | | | 86ca18a0b4SWilliam Wang// | | | 0 871f0e2dc7SJiawei Lin// | | DCacheBankOffset 881f0e2dc7SJiawei Lin// | DCacheSetOffset 891f0e2dc7SJiawei Lin// DCacheAboveIndexOffset 901f0e2dc7SJiawei Lin 911f0e2dc7SJiawei Lin// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 921f0e2dc7SJiawei Lin 930d32f713Shappy-lxtrait HasDCacheParameters extends HasL1CacheParameters with HasL1PrefetchSourceParameter{ 941f0e2dc7SJiawei Lin val cacheParams = dcacheParameters 951f0e2dc7SJiawei Lin val cfg = cacheParams 961f0e2dc7SJiawei Lin 971f0e2dc7SJiawei Lin def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 981f0e2dc7SJiawei Lin 992db9ec44SLinJiawei def nSourceType = 10 1001f0e2dc7SJiawei Lin def sourceTypeWidth = log2Up(nSourceType) 10100575ac8SWilliam Wang // non-prefetch source < 3 1021f0e2dc7SJiawei Lin def LOAD_SOURCE = 0 1031f0e2dc7SJiawei Lin def STORE_SOURCE = 1 1041f0e2dc7SJiawei Lin def AMO_SOURCE = 2 10500575ac8SWilliam Wang // prefetch source >= 3 10600575ac8SWilliam Wang def DCACHE_PREFETCH_SOURCE = 3 1072db9ec44SLinJiawei def SOFT_PREFETCH = 4 1080d32f713Shappy-lx // the following sources are only used inside SMS 1092db9ec44SLinJiawei def HW_PREFETCH_AGT = 5 1102db9ec44SLinJiawei def HW_PREFETCH_PHT_CUR = 6 1112db9ec44SLinJiawei def HW_PREFETCH_PHT_INC = 7 1122db9ec44SLinJiawei def HW_PREFETCH_PHT_DEC = 8 1132db9ec44SLinJiawei def HW_PREFETCH_BOP = 9 1142db9ec44SLinJiawei def HW_PREFETCH_STRIDE = 10 1151f0e2dc7SJiawei Lin 1160d32f713Shappy-lx def BLOOM_FILTER_ENTRY_NUM = 4096 1170d32f713Shappy-lx 1181f0e2dc7SJiawei Lin // each source use a id to distinguish its multiple reqs 1198b1251e1SWilliam Wang def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize) 1201f0e2dc7SJiawei Lin 121300ded30SWilliam Wang require(isPow2(cfg.nMissEntries)) // TODO 122300ded30SWilliam Wang // require(isPow2(cfg.nReleaseEntries)) 123300ded30SWilliam Wang require(cfg.nMissEntries < cfg.nReleaseEntries) 124300ded30SWilliam Wang val nEntries = cfg.nMissEntries + cfg.nReleaseEntries 125300ded30SWilliam Wang val releaseIdBase = cfg.nMissEntries 12631d5a9c4Ssfencevma val EnableDataEcc = cacheParams.enableDataEcc 12731d5a9c4Ssfencevma val EnableTagEcc = cacheParams.enableTagEcc 128ad3ba452Szhanglinjuan 1291f0e2dc7SJiawei Lin // banked dcache support 1303eeae490SMaxpicca-Li val DCacheSetDiv = 1 1311f0e2dc7SJiawei Lin val DCacheSets = cacheParams.nSets 1321f0e2dc7SJiawei Lin val DCacheWays = cacheParams.nWays 133af22dd7cSWilliam Wang val DCacheBanks = 8 // hardcoded 134a9c1b353SMaxpicca-Li val DCacheDupNum = 16 135af22dd7cSWilliam Wang val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded 136ca18a0b4SWilliam Wang val DCacheWordBits = 64 // hardcoded 137ca18a0b4SWilliam Wang val DCacheWordBytes = DCacheWordBits / 8 1380d32f713Shappy-lx val MaxPrefetchEntry = cacheParams.nMaxPrefetchEntry 139cdbff57cSHaoyuan Feng val DCacheVWordBytes = VLEN / 8 140af22dd7cSWilliam Wang require(DCacheSRAMRowBits == 64) 1411f0e2dc7SJiawei Lin 1423eeae490SMaxpicca-Li val DCacheSetDivBits = log2Ceil(DCacheSetDiv) 1433eeae490SMaxpicca-Li val DCacheSetBits = log2Ceil(DCacheSets) 144ca18a0b4SWilliam Wang val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 145ca18a0b4SWilliam Wang val DCacheSizeBytes = DCacheSizeBits / 8 146ca18a0b4SWilliam Wang val DCacheSizeWords = DCacheSizeBits / 64 // TODO 1471f0e2dc7SJiawei Lin 1481f0e2dc7SJiawei Lin val DCacheSameVPAddrLength = 12 1491f0e2dc7SJiawei Lin 1501f0e2dc7SJiawei Lin val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 151ca18a0b4SWilliam Wang val DCacheWordOffset = log2Up(DCacheWordBytes) 152cdbff57cSHaoyuan Feng val DCacheVWordOffset = log2Up(DCacheVWordBytes) 153ca18a0b4SWilliam Wang 154ca18a0b4SWilliam Wang val DCacheBankOffset = log2Up(DCacheSRAMRowBytes) 1551f0e2dc7SJiawei Lin val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 1561f0e2dc7SJiawei Lin val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 1571f0e2dc7SJiawei Lin val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 158ca18a0b4SWilliam Wang val DCacheLineOffset = DCacheSetOffset 1591f0e2dc7SJiawei Lin 160b34797bcScz4e def encWordBits = cacheParams.dataCode.width(wordBits) 161b34797bcScz4e def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 162b34797bcScz4e def eccBits = encWordBits - wordBits 163b34797bcScz4e 164b34797bcScz4e def encTagBits = if (EnableTagEcc) cacheParams.tagCode.width(tagBits) else tagBits 165b34797bcScz4e def tagECCBits = encTagBits - tagBits 166b34797bcScz4e 167b34797bcScz4e def encDataBits = if (EnableDataEcc) cacheParams.dataCode.width(DCacheSRAMRowBits) else DCacheSRAMRowBits 168b34797bcScz4e def dataECCBits = encDataBits - DCacheSRAMRowBits 169b34797bcScz4e 170*72dab974Scz4e // L1 DCache controller 171*72dab974Scz4e val cacheCtrlParamsOpt = OptionWrapper( 172*72dab974Scz4e cacheParams.cacheCtrlAddressOpt.nonEmpty, 173*72dab974Scz4e L1CacheCtrlParams(cacheParams.cacheCtrlAddressOpt.get) 174*72dab974Scz4e ) 17537225120Ssfencevma // uncache 176be867ebcSAnzooooo val uncacheIdxBits = log2Up(VirtualLoadQueueMaxStoreQueueSize + 1) 177b52348aeSWilliam Wang // hardware prefetch parameters 178b52348aeSWilliam Wang // high confidence hardware prefetch port 179b52348aeSWilliam Wang val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default 180b52348aeSWilliam Wang val IgnorePrefetchConfidence = false 18137225120Ssfencevma 1826c7e5e86Szhanglinjuan // parameters about duplicating regs to solve fanout 1836c7e5e86Szhanglinjuan // In Main Pipe: 1846c7e5e86Szhanglinjuan // tag_write.ready -> data_write.valid * 8 banks 1856c7e5e86Szhanglinjuan // tag_write.ready -> meta_write.valid 1866c7e5e86Szhanglinjuan // tag_write.ready -> tag_write.valid 1876c7e5e86Szhanglinjuan // tag_write.ready -> err_write.valid 1886c7e5e86Szhanglinjuan // tag_write.ready -> wb.valid 1896c7e5e86Szhanglinjuan val nDupTagWriteReady = DCacheBanks + 4 1906c7e5e86Szhanglinjuan // In Main Pipe: 1916c7e5e86Szhanglinjuan // data_write.ready -> data_write.valid * 8 banks 1926c7e5e86Szhanglinjuan // data_write.ready -> meta_write.valid 1936c7e5e86Szhanglinjuan // data_write.ready -> tag_write.valid 1946c7e5e86Szhanglinjuan // data_write.ready -> err_write.valid 1956c7e5e86Szhanglinjuan // data_write.ready -> wb.valid 1966c7e5e86Szhanglinjuan val nDupDataWriteReady = DCacheBanks + 4 1976c7e5e86Szhanglinjuan val nDupWbReady = DCacheBanks + 4 1986c7e5e86Szhanglinjuan val nDupStatus = nDupTagWriteReady + nDupDataWriteReady 1996c7e5e86Szhanglinjuan val dataWritePort = 0 2006c7e5e86Szhanglinjuan val metaWritePort = DCacheBanks 2016c7e5e86Szhanglinjuan val tagWritePort = metaWritePort + 1 2026c7e5e86Szhanglinjuan val errWritePort = tagWritePort + 1 2036c7e5e86Szhanglinjuan val wbPort = errWritePort + 1 2046c7e5e86Szhanglinjuan 2053eeae490SMaxpicca-Li def set_to_dcache_div(set: UInt) = { 2063eeae490SMaxpicca-Li require(set.getWidth >= DCacheSetBits) 2073eeae490SMaxpicca-Li if (DCacheSetDivBits == 0) 0.U else set(DCacheSetDivBits-1, 0) 2083eeae490SMaxpicca-Li } 2093eeae490SMaxpicca-Li 2103eeae490SMaxpicca-Li def set_to_dcache_div_set(set: UInt) = { 2113eeae490SMaxpicca-Li require(set.getWidth >= DCacheSetBits) 2123eeae490SMaxpicca-Li set(DCacheSetBits - 1, DCacheSetDivBits) 2133eeae490SMaxpicca-Li } 2143eeae490SMaxpicca-Li 2151f0e2dc7SJiawei Lin def addr_to_dcache_bank(addr: UInt) = { 2161f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheSetOffset) 2171f0e2dc7SJiawei Lin addr(DCacheSetOffset-1, DCacheBankOffset) 2181f0e2dc7SJiawei Lin } 2191f0e2dc7SJiawei Lin 2203eeae490SMaxpicca-Li def addr_to_dcache_div(addr: UInt) = { 2213eeae490SMaxpicca-Li require(addr.getWidth >= DCacheAboveIndexOffset) 2223eeae490SMaxpicca-Li if(DCacheSetDivBits == 0) 0.U else addr(DCacheSetOffset + DCacheSetDivBits - 1, DCacheSetOffset) 2233eeae490SMaxpicca-Li } 2243eeae490SMaxpicca-Li 2253eeae490SMaxpicca-Li def addr_to_dcache_div_set(addr: UInt) = { 2263eeae490SMaxpicca-Li require(addr.getWidth >= DCacheAboveIndexOffset) 2273eeae490SMaxpicca-Li addr(DCacheAboveIndexOffset - 1, DCacheSetOffset + DCacheSetDivBits) 2283eeae490SMaxpicca-Li } 2293eeae490SMaxpicca-Li 2301f0e2dc7SJiawei Lin def addr_to_dcache_set(addr: UInt) = { 2311f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheAboveIndexOffset) 2321f0e2dc7SJiawei Lin addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 2331f0e2dc7SJiawei Lin } 2341f0e2dc7SJiawei Lin 2351f0e2dc7SJiawei Lin def get_data_of_bank(bank: Int, data: UInt) = { 2361f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 2371f0e2dc7SJiawei Lin data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 2381f0e2dc7SJiawei Lin } 2391f0e2dc7SJiawei Lin 2401f0e2dc7SJiawei Lin def get_mask_of_bank(bank: Int, data: UInt) = { 2411f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 2421f0e2dc7SJiawei Lin data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 2431f0e2dc7SJiawei Lin } 2441f0e2dc7SJiawei Lin 245401876faSYanqin Li def get_alias(vaddr: UInt): UInt ={ 24620e09ab1Shappy-lx // require(blockOffBits + idxBits > pgIdxBits) 247401876faSYanqin Li if(blockOffBits + idxBits > pgIdxBits){ 248401876faSYanqin Li vaddr(blockOffBits + idxBits - 1, pgIdxBits) 249401876faSYanqin Li }else{ 250401876faSYanqin Li 0.U 251401876faSYanqin Li } 252401876faSYanqin Li } 2531f0e2dc7SJiawei Lin 2540d32f713Shappy-lx def is_alias_match(vaddr0: UInt, vaddr1: UInt): Bool = { 2550d32f713Shappy-lx require(vaddr0.getWidth == VAddrBits && vaddr1.getWidth == VAddrBits) 2560d32f713Shappy-lx if(blockOffBits + idxBits > pgIdxBits) { 2570d32f713Shappy-lx vaddr0(blockOffBits + idxBits - 1, pgIdxBits) === vaddr1(blockOffBits + idxBits - 1, pgIdxBits) 2580d32f713Shappy-lx }else { 2590d32f713Shappy-lx // no alias problem 2600d32f713Shappy-lx true.B 2610d32f713Shappy-lx } 2620d32f713Shappy-lx } 2630d32f713Shappy-lx 26404665835SMaxpicca-Li def get_direct_map_way(addr:UInt): UInt = { 26504665835SMaxpicca-Li addr(DCacheAboveIndexOffset + log2Up(DCacheWays) - 1, DCacheAboveIndexOffset) 26604665835SMaxpicca-Li } 26704665835SMaxpicca-Li 268578c21a4Szhanglinjuan def arbiter[T <: Bundle]( 269578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 270578c21a4Szhanglinjuan out: DecoupledIO[T], 271578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 272578c21a4Szhanglinjuan val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 273578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 274578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 275578c21a4Szhanglinjuan a <> req 276578c21a4Szhanglinjuan } 277578c21a4Szhanglinjuan out <> arb.io.out 278578c21a4Szhanglinjuan } 279578c21a4Szhanglinjuan 280b36dd5fdSWilliam Wang def arbiter_with_pipereg[T <: Bundle]( 281b36dd5fdSWilliam Wang in: Seq[DecoupledIO[T]], 282b36dd5fdSWilliam Wang out: DecoupledIO[T], 283b36dd5fdSWilliam Wang name: Option[String] = None): Unit = { 284b36dd5fdSWilliam Wang val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 285b36dd5fdSWilliam Wang if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 286b36dd5fdSWilliam Wang for ((a, req) <- arb.io.in.zip(in)) { 287b36dd5fdSWilliam Wang a <> req 288b36dd5fdSWilliam Wang } 289b36dd5fdSWilliam Wang AddPipelineReg(arb.io.out, out, false.B) 290b36dd5fdSWilliam Wang } 291b36dd5fdSWilliam Wang 292b11ec622Slixin def arbiter_with_pipereg_N_dup[T <: Bundle]( 293b11ec622Slixin in: Seq[DecoupledIO[T]], 294b11ec622Slixin out: DecoupledIO[T], 295c3a5fe5fShappy-lx dups: Seq[DecoupledIO[T]], 296b11ec622Slixin name: Option[String] = None): Unit = { 297b11ec622Slixin val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 298b11ec622Slixin if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 299b11ec622Slixin for ((a, req) <- arb.io.in.zip(in)) { 300b11ec622Slixin a <> req 301b11ec622Slixin } 302b11ec622Slixin for (dup <- dups) { 303c3a5fe5fShappy-lx AddPipelineReg(arb.io.out, dup, false.B) 304b11ec622Slixin } 305c3a5fe5fShappy-lx AddPipelineReg(arb.io.out, out, false.B) 306b11ec622Slixin } 307b11ec622Slixin 308578c21a4Szhanglinjuan def rrArbiter[T <: Bundle]( 309578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 310578c21a4Szhanglinjuan out: DecoupledIO[T], 311578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 312578c21a4Szhanglinjuan val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size)) 313578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 314578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 315578c21a4Szhanglinjuan a <> req 316578c21a4Szhanglinjuan } 317578c21a4Szhanglinjuan out <> arb.io.out 318578c21a4Szhanglinjuan } 319578c21a4Szhanglinjuan 3207cd72b71Szhanglinjuan def fastArbiter[T <: Bundle]( 3217cd72b71Szhanglinjuan in: Seq[DecoupledIO[T]], 3227cd72b71Szhanglinjuan out: DecoupledIO[T], 3237cd72b71Szhanglinjuan name: Option[String] = None): Unit = { 3247cd72b71Szhanglinjuan val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size)) 3257cd72b71Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 3267cd72b71Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 3277cd72b71Szhanglinjuan a <> req 3287cd72b71Szhanglinjuan } 3297cd72b71Szhanglinjuan out <> arb.io.out 3307cd72b71Szhanglinjuan } 3317cd72b71Szhanglinjuan 332ad3ba452Szhanglinjuan val numReplaceRespPorts = 2 333ad3ba452Szhanglinjuan 3341f0e2dc7SJiawei Lin require(isPow2(nSets), s"nSets($nSets) must be pow2") 3351f0e2dc7SJiawei Lin require(isPow2(nWays), s"nWays($nWays) must be pow2") 3361f0e2dc7SJiawei Lin require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 3371f0e2dc7SJiawei Lin require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 3381f0e2dc7SJiawei Lin} 3391f0e2dc7SJiawei Lin 3401f0e2dc7SJiawei Linabstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 3411f0e2dc7SJiawei Lin with HasDCacheParameters 3421f0e2dc7SJiawei Lin 3431f0e2dc7SJiawei Linabstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 3441f0e2dc7SJiawei Lin with HasDCacheParameters 3451f0e2dc7SJiawei Lin 3461f0e2dc7SJiawei Linclass ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 3471f0e2dc7SJiawei Lin val set = UInt(log2Up(nSets).W) 3481f0e2dc7SJiawei Lin val way = UInt(log2Up(nWays).W) 3491f0e2dc7SJiawei Lin} 3501f0e2dc7SJiawei Lin 351ad3ba452Szhanglinjuanclass ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle { 352ad3ba452Szhanglinjuan val set = ValidIO(UInt(log2Up(nSets).W)) 35304665835SMaxpicca-Li val dmWay = Output(UInt(log2Up(nWays).W)) 354ad3ba452Szhanglinjuan val way = Input(UInt(log2Up(nWays).W)) 355ad3ba452Szhanglinjuan} 356ad3ba452Szhanglinjuan 3573af6aa6eSWilliam Wangclass DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle 3583af6aa6eSWilliam Wang{ 3593af6aa6eSWilliam Wang val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store 3600d32f713Shappy-lx val prefetch = UInt(L1PfSourceBits.W) // cache line is first required by prefetch 3613af6aa6eSWilliam Wang val access = Bool() // cache line has been accessed by load / store 3623af6aa6eSWilliam Wang 3633af6aa6eSWilliam Wang // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline 3643af6aa6eSWilliam Wang} 3653af6aa6eSWilliam Wang 3661f0e2dc7SJiawei Lin// memory request in word granularity(load, mmio, lr/sc, atomics) 3671f0e2dc7SJiawei Linclass DCacheWordReq(implicit p: Parameters) extends DCacheBundle 3681f0e2dc7SJiawei Lin{ 3691f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 370d2b20d1aSTang Haojin val vaddr = UInt(VAddrBits.W) 371cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 372cdbff57cSHaoyuan Feng val mask = UInt((VLEN/8).W) 3731f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 3743f4ec46fSCODE-JTZ val instrtype = UInt(sourceTypeWidth.W) 375da3bf434SMaxpicca-Li val isFirstIssue = Bool() 37604665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 377d2945707SHuijin Li val lqIdx = new LqPtr 378da3bf434SMaxpicca-Li 379da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 3801f0e2dc7SJiawei Lin def dump() = { 381d2b20d1aSTang Haojin XSDebug("DCacheWordReq: cmd: %x vaddr: %x data: %x mask: %x id: %d\n", 382d2b20d1aSTang Haojin cmd, vaddr, data, mask, id) 3831f0e2dc7SJiawei Lin } 3841f0e2dc7SJiawei Lin} 3851f0e2dc7SJiawei Lin 3861f0e2dc7SJiawei Lin// memory request in word granularity(store) 3871f0e2dc7SJiawei Linclass DCacheLineReq(implicit p: Parameters) extends DCacheBundle 3881f0e2dc7SJiawei Lin{ 3891f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 3901f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 3911f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 3921f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 3931f0e2dc7SJiawei Lin val mask = UInt(cfg.blockBytes.W) 3941f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 3951f0e2dc7SJiawei Lin def dump() = { 3961f0e2dc7SJiawei Lin XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 3971f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 3981f0e2dc7SJiawei Lin } 399ad3ba452Szhanglinjuan def idx: UInt = get_idx(vaddr) 4001f0e2dc7SJiawei Lin} 4011f0e2dc7SJiawei Lin 4021f0e2dc7SJiawei Linclass DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 403d2b20d1aSTang Haojin val addr = UInt(PAddrBits.W) 404ca18a0b4SWilliam Wang val wline = Bool() 4051f0e2dc7SJiawei Lin} 4061f0e2dc7SJiawei Lin 4070d32f713Shappy-lxclass DCacheWordReqWithVaddrAndPfFlag(implicit p: Parameters) extends DCacheWordReqWithVaddr { 4080d32f713Shappy-lx val prefetch = Bool() 409315e1323Sgood-circle val vecValid = Bool() 410b240e1c0SAnzooooo val sqNeedDeq = Bool() 4110d32f713Shappy-lx 4120d32f713Shappy-lx def toDCacheWordReqWithVaddr() = { 4130d32f713Shappy-lx val res = Wire(new DCacheWordReqWithVaddr) 4140d32f713Shappy-lx res.vaddr := vaddr 4150d32f713Shappy-lx res.wline := wline 4160d32f713Shappy-lx res.cmd := cmd 4170d32f713Shappy-lx res.addr := addr 4180d32f713Shappy-lx res.data := data 4190d32f713Shappy-lx res.mask := mask 4200d32f713Shappy-lx res.id := id 4210d32f713Shappy-lx res.instrtype := instrtype 4220d32f713Shappy-lx res.replayCarry := replayCarry 4230d32f713Shappy-lx res.isFirstIssue := isFirstIssue 4240d32f713Shappy-lx res.debug_robIdx := debug_robIdx 4250d32f713Shappy-lx 4260d32f713Shappy-lx res 4270d32f713Shappy-lx } 4280d32f713Shappy-lx} 4290d32f713Shappy-lx 4306786cfb7SWilliam Wangclass BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle 4311f0e2dc7SJiawei Lin{ 432144422dcSMaxpicca-Li // read in s2 433cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 434144422dcSMaxpicca-Li // select in s3 435cdbff57cSHaoyuan Feng val data_delayed = UInt(VLEN.W) 436026615fcSWilliam Wang val id = UInt(reqIdWidth.W) 4371f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 4381f0e2dc7SJiawei Lin val miss = Bool() 439026615fcSWilliam Wang // cache miss, and failed to enter the missqueue, replay from RS is needed 4401f0e2dc7SJiawei Lin val replay = Bool() 44104665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 442026615fcSWilliam Wang // data has been corrupted 443a469aa4bSWilliam Wang val tag_error = Bool() // tag error 444144422dcSMaxpicca-Li val mshr_id = UInt(log2Up(cfg.nMissEntries).W) 445144422dcSMaxpicca-Li 446da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 4471f0e2dc7SJiawei Lin def dump() = { 4481f0e2dc7SJiawei Lin XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 4491f0e2dc7SJiawei Lin data, id, miss, replay) 4501f0e2dc7SJiawei Lin } 4511f0e2dc7SJiawei Lin} 4521f0e2dc7SJiawei Lin 4536786cfb7SWilliam Wangclass DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp 4546786cfb7SWilliam Wang{ 4550d32f713Shappy-lx val meta_prefetch = UInt(L1PfSourceBits.W) 4564b6d4d13SWilliam Wang val meta_access = Bool() 457b9e121dfShappy-lx // s2 458b9e121dfShappy-lx val handled = Bool() 4590d32f713Shappy-lx val real_miss = Bool() 460b9e121dfShappy-lx // s3: 1 cycle after data resp 4616786cfb7SWilliam Wang val error_delayed = Bool() // all kinds of errors, include tag error 462b9e121dfShappy-lx val replacementUpdated = Bool() 4636786cfb7SWilliam Wang} 4646786cfb7SWilliam Wang 465a19ae480SWilliam Wangclass BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp 466a19ae480SWilliam Wang{ 467a19ae480SWilliam Wang val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W)) 468a19ae480SWilliam Wang val bank_oh = UInt(DCacheBanks.W) 469a19ae480SWilliam Wang} 470a19ae480SWilliam Wang 4716786cfb7SWilliam Wangclass DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp 4726786cfb7SWilliam Wang{ 4736786cfb7SWilliam Wang val error = Bool() // all kinds of errors, include tag error 47458cb1b0bSzhanglinjuan val nderr = Bool() 4756786cfb7SWilliam Wang} 4766786cfb7SWilliam Wang 4771f0e2dc7SJiawei Linclass DCacheLineResp(implicit p: Parameters) extends DCacheBundle 4781f0e2dc7SJiawei Lin{ 4791f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 4801f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 4811f0e2dc7SJiawei Lin val miss = Bool() 4821f0e2dc7SJiawei Lin // cache req nacked, replay it later 4831f0e2dc7SJiawei Lin val replay = Bool() 4841f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 4851f0e2dc7SJiawei Lin def dump() = { 4861f0e2dc7SJiawei Lin XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 4871f0e2dc7SJiawei Lin data, id, miss, replay) 4881f0e2dc7SJiawei Lin } 4891f0e2dc7SJiawei Lin} 4901f0e2dc7SJiawei Lin 4911f0e2dc7SJiawei Linclass Refill(implicit p: Parameters) extends DCacheBundle 4921f0e2dc7SJiawei Lin{ 4931f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 4941f0e2dc7SJiawei Lin val data = UInt(l1BusDataWidth.W) 495026615fcSWilliam Wang val error = Bool() // refilled data has been corrupted 4961f0e2dc7SJiawei Lin // for debug usage 4971f0e2dc7SJiawei Lin val data_raw = UInt((cfg.blockBytes * 8).W) 4981f0e2dc7SJiawei Lin val hasdata = Bool() 4991f0e2dc7SJiawei Lin val refill_done = Bool() 5001f0e2dc7SJiawei Lin def dump() = { 5011f0e2dc7SJiawei Lin XSDebug("Refill: addr: %x data: %x\n", addr, data) 5021f0e2dc7SJiawei Lin } 503683c1411Shappy-lx val id = UInt(log2Up(cfg.nMissEntries).W) 5041f0e2dc7SJiawei Lin} 5051f0e2dc7SJiawei Lin 50667682d05SWilliam Wangclass Release(implicit p: Parameters) extends DCacheBundle 50767682d05SWilliam Wang{ 50867682d05SWilliam Wang val paddr = UInt(PAddrBits.W) 50967682d05SWilliam Wang def dump() = { 51067682d05SWilliam Wang XSDebug("Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset)) 51167682d05SWilliam Wang } 51267682d05SWilliam Wang} 51367682d05SWilliam Wang 5141f0e2dc7SJiawei Linclass DCacheWordIO(implicit p: Parameters) extends DCacheBundle 5151f0e2dc7SJiawei Lin{ 5161f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReq) 517144422dcSMaxpicca-Li val resp = Flipped(DecoupledIO(new DCacheWordResp)) 5181f0e2dc7SJiawei Lin} 5191f0e2dc7SJiawei Lin 52037225120Ssfencevma 52137225120Ssfencevmaclass UncacheWordReq(implicit p: Parameters) extends DCacheBundle 52237225120Ssfencevma{ 52337225120Ssfencevma val cmd = UInt(M_SZ.W) 52437225120Ssfencevma val addr = UInt(PAddrBits.W) 525e04c5f64SYanqin Li val vaddr = UInt(VAddrBits.W) // for uncache buffer forwarding 526cdbff57cSHaoyuan Feng val data = UInt(XLEN.W) 527cdbff57cSHaoyuan Feng val mask = UInt((XLEN/8).W) 52837225120Ssfencevma val id = UInt(uncacheIdxBits.W) 52937225120Ssfencevma val instrtype = UInt(sourceTypeWidth.W) 53037225120Ssfencevma val atomic = Bool() 531c7353d05SYanqin Li val nc = Bool() 532da3bf434SMaxpicca-Li val isFirstIssue = Bool() 53304665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 53437225120Ssfencevma 53537225120Ssfencevma def dump() = { 53637225120Ssfencevma XSDebug("UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 53737225120Ssfencevma cmd, addr, data, mask, id) 53837225120Ssfencevma } 53937225120Ssfencevma} 54037225120Ssfencevma 541cdbff57cSHaoyuan Fengclass UncacheWordResp(implicit p: Parameters) extends DCacheBundle 54237225120Ssfencevma{ 543cdbff57cSHaoyuan Feng val data = UInt(XLEN.W) 544cdbff57cSHaoyuan Feng val data_delayed = UInt(XLEN.W) 545e04c5f64SYanqin Li val id = UInt(uncacheIdxBits.W) // resp identified signals 546e04c5f64SYanqin Li val nc = Bool() // resp identified signals 547e04c5f64SYanqin Li val is2lq = Bool() // resp identified signals 54837225120Ssfencevma val miss = Bool() 54937225120Ssfencevma val replay = Bool() 55037225120Ssfencevma val tag_error = Bool() 55137225120Ssfencevma val error = Bool() 55258cb1b0bSzhanglinjuan val nderr = Bool() 55304665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 554144422dcSMaxpicca-Li val mshr_id = UInt(log2Up(cfg.nMissEntries).W) // FIXME: why uncacheWordResp is not merged to baseDcacheResp 55537225120Ssfencevma 556da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 55737225120Ssfencevma def dump() = { 55837225120Ssfencevma XSDebug("UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n", 55937225120Ssfencevma data, id, miss, replay, tag_error, error) 56037225120Ssfencevma } 56137225120Ssfencevma} 56237225120Ssfencevma 5636786cfb7SWilliam Wangclass UncacheWordIO(implicit p: Parameters) extends DCacheBundle 5646786cfb7SWilliam Wang{ 56537225120Ssfencevma val req = DecoupledIO(new UncacheWordReq) 566cdbff57cSHaoyuan Feng val resp = Flipped(DecoupledIO(new UncacheWordResp)) 5676786cfb7SWilliam Wang} 5686786cfb7SWilliam Wang 569ffd3154dSCharlieLiuclass MainPipeResp(implicit p: Parameters) extends DCacheBundle { 570ffd3154dSCharlieLiu //distinguish amo 571ffd3154dSCharlieLiu val source = UInt(sourceTypeWidth.W) 57238c29594Szhanglinjuan val data = UInt(QuadWordBits.W) 57362cb71fbShappy-lx val miss = Bool() 57462cb71fbShappy-lx val miss_id = UInt(log2Up(cfg.nMissEntries).W) 57562cb71fbShappy-lx val replay = Bool() 57662cb71fbShappy-lx val error = Bool() 57762cb71fbShappy-lx 57862cb71fbShappy-lx val ack_miss_queue = Bool() 57962cb71fbShappy-lx 58062cb71fbShappy-lx val id = UInt(reqIdWidth.W) 581ffd3154dSCharlieLiu 582ffd3154dSCharlieLiu def isAMO: Bool = source === AMO_SOURCE.U 583ffd3154dSCharlieLiu def isStore: Bool = source === STORE_SOURCE.U 58462cb71fbShappy-lx} 58562cb71fbShappy-lx 5866786cfb7SWilliam Wangclass AtomicWordIO(implicit p: Parameters) extends DCacheBundle 5871f0e2dc7SJiawei Lin{ 58862cb71fbShappy-lx val req = DecoupledIO(new MainPipeReq) 589ffd3154dSCharlieLiu val resp = Flipped(ValidIO(new MainPipeResp)) 59062cb71fbShappy-lx val block_lr = Input(Bool()) 5911f0e2dc7SJiawei Lin} 5921f0e2dc7SJiawei Lin 593dc4fac13SCharlieLiuclass CMOReq(implicit p: Parameters) extends Bundle { 594dc4fac13SCharlieLiu val opcode = UInt(3.W) // 0-cbo.clean, 1-cbo.flush, 2-cbo.inval, 3-cbo.zero 595dc4fac13SCharlieLiu val address = UInt(64.W) 596dc4fac13SCharlieLiu} 597dc4fac13SCharlieLiu 598dc4fac13SCharlieLiuclass CMOResp(implicit p: Parameters) extends Bundle { 599dc4fac13SCharlieLiu val address = UInt(64.W) 600dc4fac13SCharlieLiu} 601dc4fac13SCharlieLiu 6021f0e2dc7SJiawei Lin// used by load unit 6031f0e2dc7SJiawei Linclass DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 6041f0e2dc7SJiawei Lin{ 6051f0e2dc7SJiawei Lin // kill previous cycle's req 60608b0bc30Shappy-lx val s1_kill_data_read = Output(Bool()) // only kill bandedDataRead at s1 60708b0bc30Shappy-lx val s1_kill = Output(Bool()) // kill loadpipe req at s1 608b6982e83SLemover val s2_kill = Output(Bool()) 60904665835SMaxpicca-Li val s0_pc = Output(UInt(VAddrBits.W)) 61004665835SMaxpicca-Li val s1_pc = Output(UInt(VAddrBits.W)) 6112db9ec44SLinJiawei val s2_pc = Output(UInt(VAddrBits.W)) 612b9e121dfShappy-lx // cycle 0: load has updated replacement before 613b9e121dfShappy-lx val replacementUpdated = Output(Bool()) 61400e6f2e2Sweiding liu val is128Req = Bool() 6150d32f713Shappy-lx // cycle 0: prefetch source bits 6160d32f713Shappy-lx val pf_source = Output(UInt(L1PfSourceBits.W)) 617d2945707SHuijin Li // cycle0: load microop 618d2945707SHuijin Li // val s0_uop = Output(new MicroOp) 6191f0e2dc7SJiawei Lin // cycle 0: virtual address: req.addr 6201f0e2dc7SJiawei Lin // cycle 1: physical address: s1_paddr 62103efd994Shappy-lx val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr 62203efd994Shappy-lx val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr 6231f0e2dc7SJiawei Lin val s1_disable_fast_wakeup = Input(Bool()) 62403efd994Shappy-lx // cycle 2: hit signal 62503efd994Shappy-lx val s2_hit = Input(Bool()) // hit signal for lsu, 626da3bf434SMaxpicca-Li val s2_first_hit = Input(Bool()) 627594c5198Ssfencevma val s2_bank_conflict = Input(Bool()) 62814a67055Ssfencevma val s2_wpu_pred_fail = Input(Bool()) 62914a67055Ssfencevma val s2_mq_nack = Input(Bool()) 63003efd994Shappy-lx 63103efd994Shappy-lx // debug 63203efd994Shappy-lx val debug_s1_hit_way = Input(UInt(nWays.W)) 63304665835SMaxpicca-Li val debug_s2_pred_way_num = Input(UInt(XLEN.W)) 63404665835SMaxpicca-Li val debug_s2_dm_way_num = Input(UInt(XLEN.W)) 63504665835SMaxpicca-Li val debug_s2_real_way_num = Input(UInt(XLEN.W)) 6361f0e2dc7SJiawei Lin} 6371f0e2dc7SJiawei Lin 6381f0e2dc7SJiawei Linclass DCacheLineIO(implicit p: Parameters) extends DCacheBundle 6391f0e2dc7SJiawei Lin{ 6401f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheLineReq) 6411f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheLineResp)) 6421f0e2dc7SJiawei Lin} 6431f0e2dc7SJiawei Lin 644ad3ba452Szhanglinjuanclass DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle { 645ad3ba452Szhanglinjuan // sbuffer will directly send request to dcache main pipe 646ad3ba452Szhanglinjuan val req = Flipped(Decoupled(new DCacheLineReq)) 647ad3ba452Szhanglinjuan 648ad3ba452Szhanglinjuan val main_pipe_hit_resp = ValidIO(new DCacheLineResp) 649ffd3154dSCharlieLiu //val refill_hit_resp = ValidIO(new DCacheLineResp) 650ad3ba452Szhanglinjuan 651ad3ba452Szhanglinjuan val replay_resp = ValidIO(new DCacheLineResp) 652ad3ba452Szhanglinjuan 653ffd3154dSCharlieLiu //def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp) 654ffd3154dSCharlieLiu def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp) 655ad3ba452Szhanglinjuan} 656ad3ba452Szhanglinjuan 657683c1411Shappy-lx// forward tilelink channel D's data to ldu 658683c1411Shappy-lxclass DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle { 659683c1411Shappy-lx val valid = Bool() 660683c1411Shappy-lx val data = UInt(l1BusDataWidth.W) 661683c1411Shappy-lx val mshrid = UInt(log2Up(cfg.nMissEntries).W) 662683c1411Shappy-lx val last = Bool() 663683c1411Shappy-lx 664683c1411Shappy-lx def apply(req_valid : Bool, req_data : UInt, req_mshrid : UInt, req_last : Bool) = { 665683c1411Shappy-lx valid := req_valid 666683c1411Shappy-lx data := req_data 667683c1411Shappy-lx mshrid := req_mshrid 668683c1411Shappy-lx last := req_last 669683c1411Shappy-lx } 670683c1411Shappy-lx 671683c1411Shappy-lx def dontCare() = { 672683c1411Shappy-lx valid := false.B 673683c1411Shappy-lx data := DontCare 674683c1411Shappy-lx mshrid := DontCare 675683c1411Shappy-lx last := DontCare 676683c1411Shappy-lx } 677683c1411Shappy-lx 678683c1411Shappy-lx def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = { 679683c1411Shappy-lx val all_match = req_valid && valid && 680683c1411Shappy-lx req_mshr_id === mshrid && 681683c1411Shappy-lx req_paddr(log2Up(refillBytes)) === last 682683c1411Shappy-lx val forward_D = RegInit(false.B) 683cdbff57cSHaoyuan Feng val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 684683c1411Shappy-lx 685683c1411Shappy-lx val block_idx = req_paddr(log2Up(refillBytes) - 1, 3) 686683c1411Shappy-lx val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W))) 687683c1411Shappy-lx (0 until l1BusDataWidth / 64).map(i => { 688683c1411Shappy-lx block_data(i) := data(64 * i + 63, 64 * i) 689683c1411Shappy-lx }) 690cdbff57cSHaoyuan Feng val selected_data = Wire(UInt(128.W)) 691cdbff57cSHaoyuan Feng selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 692683c1411Shappy-lx 693683c1411Shappy-lx forward_D := all_match 694cdbff57cSHaoyuan Feng for (i <- 0 until VLEN/8) { 6955adc4829SYanqin Li when (all_match) { 696683c1411Shappy-lx forwardData(i) := selected_data(8 * i + 7, 8 * i) 697683c1411Shappy-lx } 6985adc4829SYanqin Li } 699683c1411Shappy-lx 700683c1411Shappy-lx (forward_D, forwardData) 701683c1411Shappy-lx } 702683c1411Shappy-lx} 703683c1411Shappy-lx 704683c1411Shappy-lxclass MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle { 705683c1411Shappy-lx val inflight = Bool() 706683c1411Shappy-lx val paddr = UInt(PAddrBits.W) 7079ebbb510Shappy-lx val raw_data = Vec(blockRows, UInt(rowBits.W)) 708683c1411Shappy-lx val firstbeat_valid = Bool() 709683c1411Shappy-lx val lastbeat_valid = Bool() 710683c1411Shappy-lx 711683c1411Shappy-lx def apply(mshr_valid : Bool, mshr_paddr : UInt, mshr_rawdata : Vec[UInt], mshr_first_valid : Bool, mshr_last_valid : Bool) = { 712683c1411Shappy-lx inflight := mshr_valid 713683c1411Shappy-lx paddr := mshr_paddr 714683c1411Shappy-lx raw_data := mshr_rawdata 715683c1411Shappy-lx firstbeat_valid := mshr_first_valid 716683c1411Shappy-lx lastbeat_valid := mshr_last_valid 717683c1411Shappy-lx } 718683c1411Shappy-lx 719683c1411Shappy-lx // check if we can forward from mshr or D channel 720683c1411Shappy-lx def check(req_valid : Bool, req_paddr : UInt) = { 7215adc4829SYanqin Li RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits)) // TODO: clock gate(1-bit) 722683c1411Shappy-lx } 723683c1411Shappy-lx 724683c1411Shappy-lx def forward(req_valid : Bool, req_paddr : UInt) = { 725683c1411Shappy-lx val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) || 726683c1411Shappy-lx (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid) 727683c1411Shappy-lx 728683c1411Shappy-lx val forward_mshr = RegInit(false.B) 729cdbff57cSHaoyuan Feng val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 730683c1411Shappy-lx 7319ebbb510Shappy-lx val block_idx = req_paddr(log2Up(refillBytes), 3) 7329ebbb510Shappy-lx val block_data = raw_data 7339ebbb510Shappy-lx 734cdbff57cSHaoyuan Feng val selected_data = Wire(UInt(128.W)) 735cdbff57cSHaoyuan Feng selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 736683c1411Shappy-lx 737683c1411Shappy-lx forward_mshr := all_match 738cdbff57cSHaoyuan Feng for (i <- 0 until VLEN/8) { 739683c1411Shappy-lx forwardData(i) := selected_data(8 * i + 7, 8 * i) 740683c1411Shappy-lx } 741683c1411Shappy-lx 742683c1411Shappy-lx (forward_mshr, forwardData) 743683c1411Shappy-lx } 744683c1411Shappy-lx} 745683c1411Shappy-lx 746683c1411Shappy-lx// forward mshr's data to ldu 747683c1411Shappy-lxclass LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle { 748683c1411Shappy-lx // req 749683c1411Shappy-lx val valid = Input(Bool()) 750683c1411Shappy-lx val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W)) 751683c1411Shappy-lx val paddr = Input(UInt(PAddrBits.W)) 752683c1411Shappy-lx // resp 753683c1411Shappy-lx val forward_mshr = Output(Bool()) 754cdbff57cSHaoyuan Feng val forwardData = Output(Vec(VLEN/8, UInt(8.W))) 755683c1411Shappy-lx val forward_result_valid = Output(Bool()) 756683c1411Shappy-lx 757683c1411Shappy-lx def connect(sink: LduToMissqueueForwardIO) = { 758683c1411Shappy-lx sink.valid := valid 759683c1411Shappy-lx sink.mshrid := mshrid 760683c1411Shappy-lx sink.paddr := paddr 761683c1411Shappy-lx forward_mshr := sink.forward_mshr 762683c1411Shappy-lx forwardData := sink.forwardData 763683c1411Shappy-lx forward_result_valid := sink.forward_result_valid 764683c1411Shappy-lx } 765683c1411Shappy-lx 766683c1411Shappy-lx def forward() = { 767683c1411Shappy-lx (forward_result_valid, forward_mshr, forwardData) 768683c1411Shappy-lx } 769683c1411Shappy-lx} 770683c1411Shappy-lx 7710d32f713Shappy-lxclass StorePrefetchReq(implicit p: Parameters) extends DCacheBundle { 7720d32f713Shappy-lx val paddr = UInt(PAddrBits.W) 7730d32f713Shappy-lx val vaddr = UInt(VAddrBits.W) 7740d32f713Shappy-lx} 7750d32f713Shappy-lx 7761f0e2dc7SJiawei Linclass DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 77746ba64e8Ssfencevma val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 77846ba64e8Ssfencevma val sta = Vec(StorePipelineWidth, Flipped(new DCacheStoreIO)) // for non-blocking store 779692e2fafSHuijin Li //val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 7809444e131Ssfencevma val tl_d_channel = Output(new DcacheToLduForwardIO) 781ad3ba452Szhanglinjuan val store = new DCacheToSbufferIO // for sbuffer 7826786cfb7SWilliam Wang val atomics = Flipped(new AtomicWordIO) // atomics reqs 78367682d05SWilliam Wang val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check 784683c1411Shappy-lx val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO)) 785683c1411Shappy-lx val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO) 7861f0e2dc7SJiawei Lin} 7871f0e2dc7SJiawei Lin 78860ebee38STang Haojinclass DCacheTopDownIO(implicit p: Parameters) extends DCacheBundle { 78960ebee38STang Haojin val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 79060ebee38STang Haojin val robHeadMissInDCache = Output(Bool()) 79160ebee38STang Haojin val robHeadOtherReplay = Input(Bool()) 79260ebee38STang Haojin} 79360ebee38STang Haojin 7941f0e2dc7SJiawei Linclass DCacheIO(implicit p: Parameters) extends DCacheBundle { 795f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 796f1d78cf7SLinJiawei val l2_pf_store_only = Input(Bool()) 7971f0e2dc7SJiawei Lin val lsu = new DCacheToLsuIO 798e19f7967SWilliam Wang val csr = new L1CacheToCsrIO 7990184a80eSYanqin Li val error = ValidIO(new L1CacheErrorInfo) 8001f0e2dc7SJiawei Lin val mshrFull = Output(Bool()) 8010d32f713Shappy-lx val memSetPattenDetected = Output(Bool()) 8020d32f713Shappy-lx val lqEmpty = Input(Bool()) 8030d32f713Shappy-lx val pf_ctrl = Output(new PrefetchControlBundle) 8042fdb4d6aShappy-lx val force_write = Input(Bool()) 8056005a7e2Shappy-lx val sms_agt_evict_req = DecoupledIO(new AGTEvictReq) 80660ebee38STang Haojin val debugTopDown = new DCacheTopDownIO 8077cf78eb2Shappy-lx val debugRolling = Flipped(new RobDebugRollingIO) 808ffd3154dSCharlieLiu val l2_hint = Input(Valid(new L2ToL1Hint())) 809dc4fac13SCharlieLiu val cmoOpReq = Flipped(DecoupledIO(new CMOReq)) 810dc4fac13SCharlieLiu val cmoOpResp = DecoupledIO(new CMOResp) 8111f0e2dc7SJiawei Lin} 8121f0e2dc7SJiawei Lin 81308b0bc30Shappy-lxprivate object ArbiterCtrl { 81408b0bc30Shappy-lx def apply(request: Seq[Bool]): Seq[Bool] = request.length match { 81508b0bc30Shappy-lx case 0 => Seq() 81608b0bc30Shappy-lx case 1 => Seq(true.B) 81708b0bc30Shappy-lx case _ => true.B +: request.tail.init.scanLeft(request.head)(_ || _).map(!_) 81808b0bc30Shappy-lx } 81908b0bc30Shappy-lx} 82008b0bc30Shappy-lx 82108b0bc30Shappy-lxclass TreeArbiter[T <: MissReqWoStoreData](val gen: T, val n: Int) extends Module{ 82208b0bc30Shappy-lx val io = IO(new ArbiterIO(gen, n)) 82308b0bc30Shappy-lx 82408b0bc30Shappy-lx def selectTree(in: Vec[Valid[T]], sIdx: UInt): Tuple2[UInt, T] = { 82508b0bc30Shappy-lx if (in.length == 1) { 82608b0bc30Shappy-lx (sIdx, in(0).bits) 82708b0bc30Shappy-lx } else if (in.length == 2) { 82808b0bc30Shappy-lx ( 82908b0bc30Shappy-lx Mux(in(0).valid, sIdx, sIdx + 1.U), 83008b0bc30Shappy-lx Mux(in(0).valid, in(0).bits, in(1).bits) 83108b0bc30Shappy-lx ) 83208b0bc30Shappy-lx } else { 83308b0bc30Shappy-lx val half = in.length / 2 83408b0bc30Shappy-lx val leftValid = in.slice(0, half).map(_.valid).reduce(_ || _) 83508b0bc30Shappy-lx val (leftIdx, leftSel) = selectTree(VecInit(in.slice(0, half)), sIdx) 83608b0bc30Shappy-lx val (rightIdx, rightSel) = selectTree(VecInit(in.slice(half, in.length)), sIdx + half.U) 83708b0bc30Shappy-lx ( 83808b0bc30Shappy-lx Mux(leftValid, leftIdx, rightIdx), 83908b0bc30Shappy-lx Mux(leftValid, leftSel, rightSel) 84008b0bc30Shappy-lx ) 84108b0bc30Shappy-lx } 84208b0bc30Shappy-lx } 84308b0bc30Shappy-lx val ins = Wire(Vec(n, Valid(gen))) 84408b0bc30Shappy-lx for (i <- 0 until n) { 84508b0bc30Shappy-lx ins(i).valid := io.in(i).valid 84608b0bc30Shappy-lx ins(i).bits := io.in(i).bits 84708b0bc30Shappy-lx } 84808b0bc30Shappy-lx val (idx, sel) = selectTree(ins, 0.U) 84908b0bc30Shappy-lx // NOTE: io.chosen is very slow, dont use it 85008b0bc30Shappy-lx io.chosen := idx 85108b0bc30Shappy-lx io.out.bits := sel 85208b0bc30Shappy-lx 85308b0bc30Shappy-lx val grant = ArbiterCtrl(io.in.map(_.valid)) 85408b0bc30Shappy-lx for ((in, g) <- io.in.zip(grant)) 85508b0bc30Shappy-lx in.ready := g && io.out.ready 85608b0bc30Shappy-lx io.out.valid := !grant.last || io.in.last.valid 85708b0bc30Shappy-lx} 85808b0bc30Shappy-lx 85908b0bc30Shappy-lxclass DCacheMEQueryIOBundle(implicit p: Parameters) extends DCacheBundle 86008b0bc30Shappy-lx{ 86108b0bc30Shappy-lx val req = ValidIO(new MissReqWoStoreData) 86208b0bc30Shappy-lx val primary_ready = Input(Bool()) 86308b0bc30Shappy-lx val secondary_ready = Input(Bool()) 86408b0bc30Shappy-lx val secondary_reject = Input(Bool()) 86508b0bc30Shappy-lx} 86608b0bc30Shappy-lx 86708b0bc30Shappy-lxclass DCacheMQQueryIOBundle(implicit p: Parameters) extends DCacheBundle 86808b0bc30Shappy-lx{ 86908b0bc30Shappy-lx val req = ValidIO(new MissReq) 87008b0bc30Shappy-lx val ready = Input(Bool()) 87108b0bc30Shappy-lx} 87208b0bc30Shappy-lx 87308b0bc30Shappy-lxclass MissReadyGen(val n: Int)(implicit p: Parameters) extends XSModule { 87408b0bc30Shappy-lx val io = IO(new Bundle { 87508b0bc30Shappy-lx val in = Vec(n, Flipped(DecoupledIO(new MissReq))) 87608b0bc30Shappy-lx val queryMQ = Vec(n, new DCacheMQQueryIOBundle) 87708b0bc30Shappy-lx }) 87808b0bc30Shappy-lx 87908b0bc30Shappy-lx val mqReadyVec = io.queryMQ.map(_.ready) 88008b0bc30Shappy-lx 88108b0bc30Shappy-lx io.queryMQ.zipWithIndex.foreach{ 88208b0bc30Shappy-lx case (q, idx) => { 88308b0bc30Shappy-lx q.req.valid := io.in(idx).valid 88408b0bc30Shappy-lx q.req.bits := io.in(idx).bits 88508b0bc30Shappy-lx } 88608b0bc30Shappy-lx } 88708b0bc30Shappy-lx io.in.zipWithIndex.map { 88808b0bc30Shappy-lx case (r, idx) => { 88908b0bc30Shappy-lx if (idx == 0) { 89008b0bc30Shappy-lx r.ready := mqReadyVec(idx) 89108b0bc30Shappy-lx } else { 89208b0bc30Shappy-lx r.ready := mqReadyVec(idx) && !Cat(io.in.slice(0, idx).map(_.valid)).orR 89308b0bc30Shappy-lx } 89408b0bc30Shappy-lx } 89508b0bc30Shappy-lx } 89608b0bc30Shappy-lx 89708b0bc30Shappy-lx} 89808b0bc30Shappy-lx 8991f0e2dc7SJiawei Linclass DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 90095e60e55STang Haojin override def shouldBeInlined: Boolean = false 9011f0e2dc7SJiawei Lin 902ffc9de54Swakafa val reqFields: Seq[BundleFieldBase] = Seq( 903ffc9de54Swakafa PrefetchField(), 904ffc9de54Swakafa ReqSourceField(), 905ffc9de54Swakafa VaddrField(VAddrBits - blockOffBits), 906d2945707SHuijin Li // IsKeywordField() 907ffc9de54Swakafa ) ++ cacheParams.aliasBitsOpt.map(AliasField) 908d2945707SHuijin Li val echoFields: Seq[BundleFieldBase] = Seq( 909d2945707SHuijin Li IsKeywordField() 910d2945707SHuijin Li ) 911ffc9de54Swakafa 9121f0e2dc7SJiawei Lin val clientParameters = TLMasterPortParameters.v1( 9131f0e2dc7SJiawei Lin Seq(TLMasterParameters.v1( 9141f0e2dc7SJiawei Lin name = "dcache", 915ad3ba452Szhanglinjuan sourceId = IdRange(0, nEntries + 1), 9161f0e2dc7SJiawei Lin supportsProbe = TransferSizes(cfg.blockBytes) 9171f0e2dc7SJiawei Lin )), 918ffc9de54Swakafa requestFields = reqFields, 919ffc9de54Swakafa echoFields = echoFields 9201f0e2dc7SJiawei Lin ) 9211f0e2dc7SJiawei Lin 9221f0e2dc7SJiawei Lin val clientNode = TLClientNode(Seq(clientParameters)) 923*72dab974Scz4e val cacheCtrlOpt = cacheCtrlParamsOpt.map(params => LazyModule(new CtrlUnit(params))) 9241f0e2dc7SJiawei Lin 9251f0e2dc7SJiawei Lin lazy val module = new DCacheImp(this) 9261f0e2dc7SJiawei Lin} 9271f0e2dc7SJiawei Lin 9281f0e2dc7SJiawei Lin 9290d32f713Shappy-lxclass DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents with HasL1PrefetchSourceParameter { 9301f0e2dc7SJiawei Lin 9311f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 9321f0e2dc7SJiawei Lin 9331f0e2dc7SJiawei Lin val (bus, edge) = outer.clientNode.out.head 9341f0e2dc7SJiawei Lin require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 9351f0e2dc7SJiawei Lin 9361f0e2dc7SJiawei Lin println("DCache:") 9371f0e2dc7SJiawei Lin println(" DCacheSets: " + DCacheSets) 9383eeae490SMaxpicca-Li println(" DCacheSetDiv: " + DCacheSetDiv) 9391f0e2dc7SJiawei Lin println(" DCacheWays: " + DCacheWays) 9401f0e2dc7SJiawei Lin println(" DCacheBanks: " + DCacheBanks) 9411f0e2dc7SJiawei Lin println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 9421f0e2dc7SJiawei Lin println(" DCacheWordOffset: " + DCacheWordOffset) 9431f0e2dc7SJiawei Lin println(" DCacheBankOffset: " + DCacheBankOffset) 9441f0e2dc7SJiawei Lin println(" DCacheSetOffset: " + DCacheSetOffset) 9451f0e2dc7SJiawei Lin println(" DCacheTagOffset: " + DCacheTagOffset) 9461f0e2dc7SJiawei Lin println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 9470d32f713Shappy-lx println(" DcacheMaxPrefetchEntry: " + MaxPrefetchEntry) 94804665835SMaxpicca-Li println(" WPUEnable: " + dwpuParam.enWPU) 94904665835SMaxpicca-Li println(" WPUEnableCfPred: " + dwpuParam.enCfPred) 95004665835SMaxpicca-Li println(" WPUAlgorithm: " + dwpuParam.algoName) 951e3ed843cShappy-lx println(" HasCMO: " + HasCMO) 9521f0e2dc7SJiawei Lin 9530d32f713Shappy-lx // Enable L1 Store prefetch 9540d32f713Shappy-lx val StorePrefetchL1Enabled = EnableStorePrefetchAtCommit || EnableStorePrefetchAtIssue || EnableStorePrefetchSPB 95546ba64e8Ssfencevma val MetaReadPort = 95646ba64e8Ssfencevma if (StorePrefetchL1Enabled) 95746ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt 95846ba64e8Ssfencevma else 95946ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.HyuCnt 96046ba64e8Ssfencevma val TagReadPort = 96146ba64e8Ssfencevma if (StorePrefetchL1Enabled) 96246ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt 96346ba64e8Ssfencevma else 96446ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.HyuCnt 9650d32f713Shappy-lx 9660d32f713Shappy-lx // Enable L1 Load prefetch 9670d32f713Shappy-lx val LoadPrefetchL1Enabled = true 9680d32f713Shappy-lx val AccessArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 9690d32f713Shappy-lx val PrefetchArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 9700d32f713Shappy-lx 9711f0e2dc7SJiawei Lin //---------------------------------------- 9721f0e2dc7SJiawei Lin // core data structures 97304665835SMaxpicca-Li val bankedDataArray = if(dwpuParam.enWPU) Module(new SramedDataArray) else Module(new BankedDataArray) 974ffd3154dSCharlieLiu val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1)) 975ffd3154dSCharlieLiu val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1)) 976ffd3154dSCharlieLiu val prefetchArray = Module(new L1PrefetchSourceArray(readPorts = PrefetchArrayReadPort, writePorts = 1 + LoadPipelineWidth)) // prefetch flag array 977ffd3154dSCharlieLiu val accessArray = Module(new L1FlagMetaArray(readPorts = AccessArrayReadPort, writePorts = LoadPipelineWidth + 1)) 9780d32f713Shappy-lx val tagArray = Module(new DuplicatedTagArray(readPorts = TagReadPort)) 9790d32f713Shappy-lx val prefetcherMonitor = Module(new PrefetcherMonitor) 9800d32f713Shappy-lx val fdpMonitor = Module(new FDPrefetcherMonitor) 9810d32f713Shappy-lx val bloomFilter = Module(new BloomFilter(BLOOM_FILTER_ENTRY_NUM, true)) 9820d32f713Shappy-lx val counterFilter = Module(new CounterFilter) 9831f0e2dc7SJiawei Lin bankedDataArray.dump() 9841f0e2dc7SJiawei Lin 9851f0e2dc7SJiawei Lin //---------------------------------------- 98608b0bc30Shappy-lx // miss queue 98708b0bc30Shappy-lx // missReqArb port: 98808b0bc30Shappy-lx // enableStorePrefetch: main pipe * 1 + load pipe * 2 + store pipe * 1 + 98908b0bc30Shappy-lx // hybrid * 1; disable: main pipe * 1 + load pipe * 2 + hybrid * 1 99008b0bc30Shappy-lx // higher priority is given to lower indices 99108b0bc30Shappy-lx val MissReqPortCount = if(StorePrefetchL1Enabled) 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt else 1 + backendParams.LduCnt + backendParams.HyuCnt 99208b0bc30Shappy-lx val MainPipeMissReqPort = 0 99308b0bc30Shappy-lx val HybridMissReqBase = MissReqPortCount - backendParams.HyuCnt 99408b0bc30Shappy-lx 99508b0bc30Shappy-lx //---------------------------------------- 9961f0e2dc7SJiawei Lin // core modules 99746ba64e8Ssfencevma val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 99846ba64e8Ssfencevma val stu = Seq.tabulate(StorePipelineWidth)({ i => Module(new StorePipe(i))}) 9991f0e2dc7SJiawei Lin val mainPipe = Module(new MainPipe) 1000ffd3154dSCharlieLiu // val refillPipe = Module(new RefillPipe) 100108b0bc30Shappy-lx val missQueue = Module(new MissQueue(edge, MissReqPortCount)) 10021f0e2dc7SJiawei Lin val probeQueue = Module(new ProbeQueue(edge)) 10031f0e2dc7SJiawei Lin val wb = Module(new WritebackQueue(edge)) 10041f0e2dc7SJiawei Lin 10050d32f713Shappy-lx missQueue.io.lqEmpty := io.lqEmpty 10065668a921SJiawei Lin missQueue.io.hartId := io.hartId 1007f1d78cf7SLinJiawei missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B) 100860ebee38STang Haojin missQueue.io.debugTopDown <> io.debugTopDown 1009ffd3154dSCharlieLiu missQueue.io.l2_hint <> RegNext(io.l2_hint) 1010ffd3154dSCharlieLiu missQueue.io.mainpipe_info := mainPipe.io.mainpipe_info 1011ffd3154dSCharlieLiu mainPipe.io.refill_info := missQueue.io.refill_info 10127ecd6591SCharlie Liu mainPipe.io.replace_block := missQueue.io.replace_block 1013ffd3154dSCharlieLiu mainPipe.io.sms_agt_evict_req <> io.sms_agt_evict_req 10140d32f713Shappy-lx io.memSetPattenDetected := missQueue.io.memSetPattenDetected 10155668a921SJiawei Lin 1016*72dab974Scz4e // l1 dcache controller 1017*72dab974Scz4e outer.cacheCtrlOpt.foreach { 1018*72dab974Scz4e case mod => 1019*72dab974Scz4e mod.module.io_pseudoError.foreach { 1020*72dab974Scz4e case x => x.ready := false.B 1021*72dab974Scz4e } 1022*72dab974Scz4e } 1023*72dab974Scz4e ldu.foreach { 1024*72dab974Scz4e case mod => 1025*72dab974Scz4e mod.io.pseudo_error.valid := false.B 1026*72dab974Scz4e mod.io.pseudo_error.bits := DontCare 1027*72dab974Scz4e } 1028*72dab974Scz4e mainPipe.io.pseudo_error.valid := false.B 1029*72dab974Scz4e mainPipe.io.pseudo_error.bits := DontCare 1030*72dab974Scz4e bankedDataArray.io.pseudo_error.valid := false.B 1031*72dab974Scz4e bankedDataArray.io.pseudo_error.bits := DontCare 1032*72dab974Scz4e 1033*72dab974Scz4e // pseudo tag ecc error 1034*72dab974Scz4e if (outer.cacheCtrlOpt.nonEmpty && EnableTagEcc) { 1035*72dab974Scz4e val ctrlUnit = outer.cacheCtrlOpt.head.module 1036*72dab974Scz4e ldu.map(mod => mod.io.pseudo_error <> ctrlUnit.io_pseudoError(0)) 1037*72dab974Scz4e mainPipe.io.pseudo_error <> ctrlUnit.io_pseudoError(0) 1038*72dab974Scz4e ctrlUnit.io_pseudoError(0).ready := mainPipe.io.pseudo_tag_error_inj_done || 1039*72dab974Scz4e ldu.map(_.io.pseudo_tag_error_inj_done).reduce(_|_) 1040*72dab974Scz4e } 1041*72dab974Scz4e 1042*72dab974Scz4e // pseudo data ecc error 1043*72dab974Scz4e if (outer.cacheCtrlOpt.nonEmpty && EnableDataEcc) { 1044*72dab974Scz4e val ctrlUnit = outer.cacheCtrlOpt.head.module 1045*72dab974Scz4e bankedDataArray.io.pseudo_error <> ctrlUnit.io_pseudoError(1) 1046*72dab974Scz4e ctrlUnit.io_pseudoError(1).ready := bankedDataArray.io.pseudo_error.ready && 1047*72dab974Scz4e (mainPipe.io.pseudo_data_error_inj_done || 1048*72dab974Scz4e ldu.map(_.io.pseudo_data_error_inj_done).reduce(_|_)) 1049*72dab974Scz4e } 1050*72dab974Scz4e 10519ef181f4SWilliam Wang val errors = ldu.map(_.io.error) ++ // load error 10529ef181f4SWilliam Wang Seq(mainPipe.io.error) // store / misc error 10530184a80eSYanqin Li val error_valid = errors.map(e => e.valid).reduce(_|_) 10540184a80eSYanqin Li io.error.bits <> RegEnable( 10550184a80eSYanqin Li Mux1H(errors.map(e => RegNext(e.valid) -> RegEnable(e.bits, e.valid))), 10560184a80eSYanqin Li RegNext(error_valid)) 10570184a80eSYanqin Li io.error.valid := RegNext(RegNext(error_valid, init = false.B), init = false.B) 1058dd95524eSzhanglinjuan 10591f0e2dc7SJiawei Lin //---------------------------------------- 10601f0e2dc7SJiawei Lin // meta array 106146ba64e8Ssfencevma val HybridLoadReadBase = LoadPipelineWidth - backendParams.HyuCnt 106246ba64e8Ssfencevma val HybridStoreReadBase = StorePipelineWidth - backendParams.HyuCnt 106346ba64e8Ssfencevma 106446ba64e8Ssfencevma val hybrid_meta_read_ports = Wire(Vec(backendParams.HyuCnt, DecoupledIO(new MetaReadReq))) 106546ba64e8Ssfencevma val hybrid_meta_resp_ports = Wire(Vec(backendParams.HyuCnt, ldu(0).io.meta_resp.cloneType)) 106646ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 106746ba64e8Ssfencevma val HybridLoadMetaReadPort = HybridLoadReadBase + i 106846ba64e8Ssfencevma val HybridStoreMetaReadPort = HybridStoreReadBase + i 106946ba64e8Ssfencevma 107046ba64e8Ssfencevma hybrid_meta_read_ports(i).valid := ldu(HybridLoadMetaReadPort).io.meta_read.valid || 107146ba64e8Ssfencevma (stu(HybridStoreMetaReadPort).io.meta_read.valid && StorePrefetchL1Enabled.B) 107246ba64e8Ssfencevma hybrid_meta_read_ports(i).bits := Mux(ldu(HybridLoadMetaReadPort).io.meta_read.valid, ldu(HybridLoadMetaReadPort).io.meta_read.bits, 107346ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_read.bits) 107446ba64e8Ssfencevma 107546ba64e8Ssfencevma ldu(HybridLoadMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready 107646ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready && StorePrefetchL1Enabled.B 107746ba64e8Ssfencevma 107846ba64e8Ssfencevma ldu(HybridLoadMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i) 107946ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i) 108046ba64e8Ssfencevma } 10813af6aa6eSWilliam Wang 10823af6aa6eSWilliam Wang // read / write coh meta 108346ba64e8Ssfencevma val meta_read_ports = ldu.map(_.io.meta_read).take(HybridLoadReadBase) ++ 10840d32f713Shappy-lx Seq(mainPipe.io.meta_read) ++ 108546ba64e8Ssfencevma stu.map(_.io.meta_read).take(HybridStoreReadBase) ++ hybrid_meta_read_ports 10860d32f713Shappy-lx 108746ba64e8Ssfencevma val meta_resp_ports = ldu.map(_.io.meta_resp).take(HybridLoadReadBase) ++ 10880d32f713Shappy-lx Seq(mainPipe.io.meta_resp) ++ 108946ba64e8Ssfencevma stu.map(_.io.meta_resp).take(HybridStoreReadBase) ++ hybrid_meta_resp_ports 10900d32f713Shappy-lx 1091ad3ba452Szhanglinjuan val meta_write_ports = Seq( 1092ffd3154dSCharlieLiu mainPipe.io.meta_write 1093ffd3154dSCharlieLiu // refillPipe.io.meta_write 1094ad3ba452Szhanglinjuan ) 10950d32f713Shappy-lx if(StorePrefetchL1Enabled) { 1096ad3ba452Szhanglinjuan meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p } 1097ad3ba452Szhanglinjuan meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r } 10980d32f713Shappy-lx } else { 109946ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 110046ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.read).foreach { case (p, r) => r <> p } 110146ba64e8Ssfencevma (meta_resp_ports.take(HybridLoadReadBase + 1) ++ 110246ba64e8Ssfencevma meta_resp_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.resp).foreach { case (p, r) => p := r } 11030d32f713Shappy-lx 110446ba64e8Ssfencevma meta_read_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p.ready := false.B } 110546ba64e8Ssfencevma meta_resp_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p := 0.U.asTypeOf(p) } 11060d32f713Shappy-lx } 1107ad3ba452Szhanglinjuan meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p } 11081f0e2dc7SJiawei Lin 11090d32f713Shappy-lx // read extra meta (exclude stu) 111046ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 111146ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(errorArray.io.read).foreach { case (p, r) => r <> p } 111246ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 111346ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(prefetchArray.io.read).foreach { case (p, r) => r <> p } 111446ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 111546ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(accessArray.io.read).foreach { case (p, r) => r <> p } 11165d9979bdSsfencevma val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp).take(HybridLoadReadBase) ++ 11175d9979bdSsfencevma Seq(mainPipe.io.extra_meta_resp) ++ 11185d9979bdSsfencevma ldu.map(_.io.extra_meta_resp).takeRight(backendParams.HyuCnt) 11193af6aa6eSWilliam Wang extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => { 11203af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).error := r(i) }) 11213af6aa6eSWilliam Wang }} 11223af6aa6eSWilliam Wang extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => { 11233af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).prefetch := r(i) }) 11243af6aa6eSWilliam Wang }} 11253af6aa6eSWilliam Wang extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => { 11263af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).access := r(i) }) 11273af6aa6eSWilliam Wang }} 11283af6aa6eSWilliam Wang 11290d32f713Shappy-lx if(LoadPrefetchL1Enabled) { 11300d32f713Shappy-lx // use last port to read prefetch and access flag 1131ffd3154dSCharlieLiu// prefetchArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 1132ffd3154dSCharlieLiu// prefetchArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 1133ffd3154dSCharlieLiu// prefetchArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 1134ffd3154dSCharlieLiu// 1135ffd3154dSCharlieLiu// accessArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 1136ffd3154dSCharlieLiu// accessArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 1137ffd3154dSCharlieLiu// accessArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 1138ffd3154dSCharlieLiu prefetchArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid 1139ffd3154dSCharlieLiu prefetchArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx 1140ffd3154dSCharlieLiu prefetchArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en 11410d32f713Shappy-lx 1142ffd3154dSCharlieLiu accessArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid 1143ffd3154dSCharlieLiu accessArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx 1144ffd3154dSCharlieLiu accessArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en 11450d32f713Shappy-lx 1146ffd3154dSCharlieLiu val extra_flag_valid = RegNext(mainPipe.io.prefetch_flag_write.valid) 1147ffd3154dSCharlieLiu val extra_flag_way_en = RegEnable(mainPipe.io.prefetch_flag_write.bits.way_en, mainPipe.io.prefetch_flag_write.valid) 11480d32f713Shappy-lx val extra_flag_prefetch = Mux1H(extra_flag_way_en, prefetchArray.io.resp.last) 11490d32f713Shappy-lx val extra_flag_access = Mux1H(extra_flag_way_en, accessArray.io.resp.last) 11500d32f713Shappy-lx 11516070f1e9Shappy-lx prefetcherMonitor.io.validity.good_prefetch := extra_flag_valid && isPrefetchRelated(extra_flag_prefetch) && extra_flag_access 11526070f1e9Shappy-lx prefetcherMonitor.io.validity.bad_prefetch := extra_flag_valid && isPrefetchRelated(extra_flag_prefetch) && !extra_flag_access 11530d32f713Shappy-lx } 11540d32f713Shappy-lx 11553af6aa6eSWilliam Wang // write extra meta 11563af6aa6eSWilliam Wang val error_flag_write_ports = Seq( 1157ffd3154dSCharlieLiu mainPipe.io.error_flag_write // error flag generated by corrupted store 1158ffd3154dSCharlieLiu // refillPipe.io.error_flag_write // corrupted signal from l2 11593af6aa6eSWilliam Wang ) 1160026615fcSWilliam Wang error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p } 1161026615fcSWilliam Wang 11620d32f713Shappy-lx val prefetch_flag_write_ports = ldu.map(_.io.prefetch_flag_write) ++ Seq( 1163ffd3154dSCharlieLiu mainPipe.io.prefetch_flag_write // set prefetch_flag to false if coh is set to Nothing 1164ffd3154dSCharlieLiu // refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag 11653af6aa6eSWilliam Wang ) 11663af6aa6eSWilliam Wang prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p } 11673af6aa6eSWilliam Wang 116846ba64e8Ssfencevma // FIXME: add hybrid unit? 11690d32f713Shappy-lx val same_cycle_update_pf_flag = ldu(0).io.prefetch_flag_write.valid && ldu(1).io.prefetch_flag_write.valid && (ldu(0).io.prefetch_flag_write.bits.idx === ldu(1).io.prefetch_flag_write.bits.idx) && (ldu(0).io.prefetch_flag_write.bits.way_en === ldu(1).io.prefetch_flag_write.bits.way_en) 11700d32f713Shappy-lx XSPerfAccumulate("same_cycle_update_pf_flag", same_cycle_update_pf_flag) 11710d32f713Shappy-lx 11723af6aa6eSWilliam Wang val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq( 1173ffd3154dSCharlieLiu mainPipe.io.access_flag_write 1174ffd3154dSCharlieLiu // refillPipe.io.access_flag_write 11753af6aa6eSWilliam Wang ) 11763af6aa6eSWilliam Wang access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p } 11773af6aa6eSWilliam Wang 1178ad3ba452Szhanglinjuan //---------------------------------------- 1179ad3ba452Szhanglinjuan // tag array 11800d32f713Shappy-lx if(StorePrefetchL1Enabled) { 118146ba64e8Ssfencevma require(tagArray.io.read.size == (LoadPipelineWidth + StorePipelineWidth - backendParams.HyuCnt + 1)) 11820d32f713Shappy-lx }else { 118346ba64e8Ssfencevma require(tagArray.io.read.size == (LoadPipelineWidth + 1)) 11840d32f713Shappy-lx } 1185ffd3154dSCharlieLiu // val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend 1186ffd3154dSCharlieLiu val tag_write_intend = mainPipe.io.tag_write_intend 118709ae47d2SWilliam Wang assert(!RegNext(!tag_write_intend && tagArray.io.write.valid)) 118846ba64e8Ssfencevma ldu.take(HybridLoadReadBase).zipWithIndex.foreach { 1189ad3ba452Szhanglinjuan case (ld, i) => 1190ad3ba452Szhanglinjuan tagArray.io.read(i) <> ld.io.tag_read 1191ad3ba452Szhanglinjuan ld.io.tag_resp := tagArray.io.resp(i) 119209ae47d2SWilliam Wang ld.io.tag_read.ready := !tag_write_intend 11931f0e2dc7SJiawei Lin } 11940d32f713Shappy-lx if(StorePrefetchL1Enabled) { 119546ba64e8Ssfencevma stu.take(HybridStoreReadBase).zipWithIndex.foreach { 11960d32f713Shappy-lx case (st, i) => 119746ba64e8Ssfencevma tagArray.io.read(HybridLoadReadBase + i) <> st.io.tag_read 119846ba64e8Ssfencevma st.io.tag_resp := tagArray.io.resp(HybridLoadReadBase + i) 11990d32f713Shappy-lx st.io.tag_read.ready := !tag_write_intend 12000d32f713Shappy-lx } 12010d32f713Shappy-lx }else { 12020d32f713Shappy-lx stu.foreach { 12030d32f713Shappy-lx case st => 12040d32f713Shappy-lx st.io.tag_read.ready := false.B 12050d32f713Shappy-lx st.io.tag_resp := 0.U.asTypeOf(st.io.tag_resp) 12060d32f713Shappy-lx } 12070d32f713Shappy-lx } 120846ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 120946ba64e8Ssfencevma val HybridLoadTagReadPort = HybridLoadReadBase + i 121046ba64e8Ssfencevma val HybridStoreTagReadPort = HybridStoreReadBase + i 121146ba64e8Ssfencevma val TagReadPort = 121246ba64e8Ssfencevma if (EnableStorePrefetchSPB) 121346ba64e8Ssfencevma HybridLoadReadBase + HybridStoreReadBase + i 121446ba64e8Ssfencevma else 121546ba64e8Ssfencevma HybridLoadReadBase + i 121646ba64e8Ssfencevma 121746ba64e8Ssfencevma // read tag 121846ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := false.B 121946ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_read.ready := false.B 122046ba64e8Ssfencevma 122146ba64e8Ssfencevma if (StorePrefetchL1Enabled) { 122246ba64e8Ssfencevma when (ldu(HybridLoadTagReadPort).io.tag_read.valid) { 122346ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read 122446ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend 122546ba64e8Ssfencevma } .otherwise { 122646ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> stu(HybridStoreTagReadPort).io.tag_read 122746ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_read.ready := !tag_write_intend 122846ba64e8Ssfencevma } 122946ba64e8Ssfencevma } else { 123046ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read 123146ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend 123246ba64e8Ssfencevma } 123346ba64e8Ssfencevma 123446ba64e8Ssfencevma // tag resp 123546ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort) 123646ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort) 123746ba64e8Ssfencevma } 1238ad3ba452Szhanglinjuan tagArray.io.read.last <> mainPipe.io.tag_read 1239ad3ba452Szhanglinjuan mainPipe.io.tag_resp := tagArray.io.resp.last 1240ad3ba452Szhanglinjuan 124109ae47d2SWilliam Wang val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid)) 124209ae47d2SWilliam Wang XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle) 124309ae47d2SWilliam Wang 1244ffd3154dSCharlieLiu val tag_write_arb = Module(new Arbiter(new TagWriteReq, 1)) 1245ffd3154dSCharlieLiu // tag_write_arb.io.in(0) <> refillPipe.io.tag_write 1246ffd3154dSCharlieLiu tag_write_arb.io.in(0) <> mainPipe.io.tag_write 1247ad3ba452Szhanglinjuan tagArray.io.write <> tag_write_arb.io.out 12481f0e2dc7SJiawei Lin 124904665835SMaxpicca-Li ldu.map(m => { 125004665835SMaxpicca-Li m.io.vtag_update.valid := tagArray.io.write.valid 125104665835SMaxpicca-Li m.io.vtag_update.bits := tagArray.io.write.bits 125204665835SMaxpicca-Li }) 125304665835SMaxpicca-Li 12541f0e2dc7SJiawei Lin //---------------------------------------- 12551f0e2dc7SJiawei Lin // data array 1256d2b20d1aSTang Haojin mainPipe.io.data_read.zip(ldu).map(x => x._1 := x._2.io.lsu.req.valid) 12571f0e2dc7SJiawei Lin 1258ffd3154dSCharlieLiu val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 1)) 1259ffd3154dSCharlieLiu // dataWriteArb.io.in(0) <> refillPipe.io.data_write 1260ffd3154dSCharlieLiu dataWriteArb.io.in(0) <> mainPipe.io.data_write 1261ad3ba452Szhanglinjuan 1262ad3ba452Szhanglinjuan bankedDataArray.io.write <> dataWriteArb.io.out 12631f0e2dc7SJiawei Lin 12646c7e5e86Szhanglinjuan for (bank <- 0 until DCacheBanks) { 1265ffd3154dSCharlieLiu val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 1)) 1266ffd3154dSCharlieLiu // dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid 1267ffd3154dSCharlieLiu // dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits 1268ffd3154dSCharlieLiu dataWriteArb_dup.io.in(0).valid := mainPipe.io.data_write_dup(bank).valid 1269ffd3154dSCharlieLiu dataWriteArb_dup.io.in(0).bits := mainPipe.io.data_write_dup(bank).bits 12706c7e5e86Szhanglinjuan 12716c7e5e86Szhanglinjuan bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out 12726c7e5e86Szhanglinjuan } 12736c7e5e86Szhanglinjuan 1274d2b20d1aSTang Haojin bankedDataArray.io.readline <> mainPipe.io.data_readline 12757a5caa97Szhanglinjuan bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend 12766786cfb7SWilliam Wang mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed 1277144422dcSMaxpicca-Li mainPipe.io.data_resp := bankedDataArray.io.readline_resp 12781f0e2dc7SJiawei Lin 12799ef181f4SWilliam Wang (0 until LoadPipelineWidth).map(i => { 12809ef181f4SWilliam Wang bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read 1281cdbff57cSHaoyuan Feng bankedDataArray.io.is128Req(i) <> ldu(i).io.is128Req 12826786cfb7SWilliam Wang bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed 12839ef181f4SWilliam Wang 1284d4564868Sweiding liu ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp(i) 1285144422dcSMaxpicca-Li 12869ef181f4SWilliam Wang ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i) 12879ef181f4SWilliam Wang }) 1288d2945707SHuijin Li val isKeyword = bus.d.bits.echo.lift(IsKeywordKey).getOrElse(false.B) 1289774f100aSWilliam Wang (0 until LoadPipelineWidth).map(i => { 1290683c1411Shappy-lx val (_, _, done, _) = edge.count(bus.d) 1291683c1411Shappy-lx when(bus.d.bits.opcode === TLMessages.GrantData) { 1292d2945707SHuijin Li io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, isKeyword ^ done) 1293d2945707SHuijin Li // io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source,done) 1294683c1411Shappy-lx }.otherwise { 1295683c1411Shappy-lx io.lsu.forward_D(i).dontCare() 1296683c1411Shappy-lx } 1297683c1411Shappy-lx }) 12989444e131Ssfencevma // tl D channel wakeup 12999444e131Ssfencevma val (_, _, done, _) = edge.count(bus.d) 13009444e131Ssfencevma when (bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.Grant) { 13019444e131Ssfencevma io.lsu.tl_d_channel.apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done) 13029444e131Ssfencevma } .otherwise { 13039444e131Ssfencevma io.lsu.tl_d_channel.dontCare() 13049444e131Ssfencevma } 13052fdb4d6aShappy-lx mainPipe.io.force_write <> io.force_write 1306683c1411Shappy-lx 130704665835SMaxpicca-Li /** dwpu */ 13084a0e27ecSYanqin Li if (dwpuParam.enWPU) { 130904665835SMaxpicca-Li val dwpu = Module(new DCacheWpuWrapper(LoadPipelineWidth)) 131004665835SMaxpicca-Li for(i <- 0 until LoadPipelineWidth){ 131104665835SMaxpicca-Li dwpu.io.req(i) <> ldu(i).io.dwpu.req(0) 131204665835SMaxpicca-Li dwpu.io.resp(i) <> ldu(i).io.dwpu.resp(0) 131304665835SMaxpicca-Li dwpu.io.lookup_upd(i) <> ldu(i).io.dwpu.lookup_upd(0) 131404665835SMaxpicca-Li dwpu.io.cfpred(i) <> ldu(i).io.dwpu.cfpred(0) 131504665835SMaxpicca-Li } 131604665835SMaxpicca-Li dwpu.io.tagwrite_upd.valid := tagArray.io.write.valid 131704665835SMaxpicca-Li dwpu.io.tagwrite_upd.bits.vaddr := tagArray.io.write.bits.vaddr 131804665835SMaxpicca-Li dwpu.io.tagwrite_upd.bits.s1_real_way_en := tagArray.io.write.bits.way_en 13194a0e27ecSYanqin Li } else { 13204a0e27ecSYanqin Li for(i <- 0 until LoadPipelineWidth){ 13214a0e27ecSYanqin Li ldu(i).io.dwpu.req(0).ready := true.B 13224a0e27ecSYanqin Li ldu(i).io.dwpu.resp(0).valid := false.B 13234a0e27ecSYanqin Li ldu(i).io.dwpu.resp(0).bits := DontCare 13244a0e27ecSYanqin Li } 13254a0e27ecSYanqin Li } 132604665835SMaxpicca-Li 13271f0e2dc7SJiawei Lin //---------------------------------------- 13281f0e2dc7SJiawei Lin // load pipe 13291f0e2dc7SJiawei Lin // the s1 kill signal 13301f0e2dc7SJiawei Lin // only lsu uses this, replay never kills 13311f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { 13321f0e2dc7SJiawei Lin ldu(w).io.lsu <> io.lsu.load(w) 13331f0e2dc7SJiawei Lin 1334cdbff57cSHaoyuan Feng // TODO:when have load128Req 133500e6f2e2Sweiding liu ldu(w).io.load128Req := io.lsu.load(w).is128Req 1336cdbff57cSHaoyuan Feng 13371f0e2dc7SJiawei Lin // replay and nack not needed anymore 13381f0e2dc7SJiawei Lin // TODO: remove replay and nack 13391f0e2dc7SJiawei Lin ldu(w).io.nack := false.B 13401f0e2dc7SJiawei Lin 13411f0e2dc7SJiawei Lin ldu(w).io.disable_ld_fast_wakeup := 13427a5caa97Szhanglinjuan bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict 13431f0e2dc7SJiawei Lin } 13441f0e2dc7SJiawei Lin 13450d32f713Shappy-lx prefetcherMonitor.io.timely.total_prefetch := ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) 13460d32f713Shappy-lx prefetcherMonitor.io.timely.late_hit_prefetch := ldu.map(_.io.prefetch_info.naive.late_hit_prefetch).reduce(_ || _) 13470d32f713Shappy-lx prefetcherMonitor.io.timely.late_miss_prefetch := missQueue.io.prefetch_info.naive.late_miss_prefetch 13480d32f713Shappy-lx prefetcherMonitor.io.timely.prefetch_hit := PopCount(ldu.map(_.io.prefetch_info.naive.prefetch_hit)) 13490d32f713Shappy-lx io.pf_ctrl <> prefetcherMonitor.io.pf_ctrl 13500d32f713Shappy-lx XSPerfAccumulate("useless_prefetch", ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) && !(ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _))) 13510d32f713Shappy-lx XSPerfAccumulate("useful_prefetch", ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _)) 13520d32f713Shappy-lx XSPerfAccumulate("late_prefetch_hit", ldu.map(_.io.prefetch_info.naive.late_prefetch_hit).reduce(_ || _)) 13530d32f713Shappy-lx XSPerfAccumulate("late_load_hit", ldu.map(_.io.prefetch_info.naive.late_load_hit).reduce(_ || _)) 13540d32f713Shappy-lx 1355da3bf434SMaxpicca-Li /** LoadMissDB: record load miss state */ 1356c686adcdSYinan Xu val hartId = p(XSCoreParamsKey).HartId 1357c686adcdSYinan Xu val isWriteLoadMissTable = Constantin.createRecord(s"isWriteLoadMissTable$hartId") 1358c686adcdSYinan Xu val isFirstHitWrite = Constantin.createRecord(s"isFirstHitWrite$hartId") 1359c686adcdSYinan Xu val tableName = s"LoadMissDB$hartId" 1360c686adcdSYinan Xu val siteName = s"DcacheWrapper$hartId" 1361da3bf434SMaxpicca-Li val loadMissTable = ChiselDB.createTable(tableName, new LoadMissEntry) 1362da3bf434SMaxpicca-Li for( i <- 0 until LoadPipelineWidth){ 1363da3bf434SMaxpicca-Li val loadMissEntry = Wire(new LoadMissEntry) 1364da3bf434SMaxpicca-Li val loadMissWriteEn = 1365da3bf434SMaxpicca-Li (!ldu(i).io.lsu.resp.bits.replay && ldu(i).io.miss_req.fire) || 1366da3bf434SMaxpicca-Li (ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid && isFirstHitWrite.orR) 1367da3bf434SMaxpicca-Li loadMissEntry.timeCnt := GTimer() 1368da3bf434SMaxpicca-Li loadMissEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 1369da3bf434SMaxpicca-Li loadMissEntry.paddr := ldu(i).io.miss_req.bits.addr 1370da3bf434SMaxpicca-Li loadMissEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 1371da3bf434SMaxpicca-Li loadMissEntry.missState := OHToUInt(Cat(Seq( 1372da3bf434SMaxpicca-Li ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 1373da3bf434SMaxpicca-Li ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 1374da3bf434SMaxpicca-Li ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 1375da3bf434SMaxpicca-Li ))) 1376da3bf434SMaxpicca-Li loadMissTable.log( 1377da3bf434SMaxpicca-Li data = loadMissEntry, 1378da3bf434SMaxpicca-Li en = isWriteLoadMissTable.orR && loadMissWriteEn, 1379da3bf434SMaxpicca-Li site = siteName, 1380da3bf434SMaxpicca-Li clock = clock, 1381da3bf434SMaxpicca-Li reset = reset 1382da3bf434SMaxpicca-Li ) 1383da3bf434SMaxpicca-Li } 1384da3bf434SMaxpicca-Li 1385c686adcdSYinan Xu val isWriteLoadAccessTable = Constantin.createRecord(s"isWriteLoadAccessTable$hartId") 1386c686adcdSYinan Xu val loadAccessTable = ChiselDB.createTable(s"LoadAccessDB$hartId", new LoadAccessEntry) 138704665835SMaxpicca-Li for (i <- 0 until LoadPipelineWidth) { 138804665835SMaxpicca-Li val loadAccessEntry = Wire(new LoadAccessEntry) 138904665835SMaxpicca-Li loadAccessEntry.timeCnt := GTimer() 139004665835SMaxpicca-Li loadAccessEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 139104665835SMaxpicca-Li loadAccessEntry.paddr := ldu(i).io.miss_req.bits.addr 139204665835SMaxpicca-Li loadAccessEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 139304665835SMaxpicca-Li loadAccessEntry.missState := OHToUInt(Cat(Seq( 139404665835SMaxpicca-Li ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 139504665835SMaxpicca-Li ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 139604665835SMaxpicca-Li ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 139704665835SMaxpicca-Li ))) 139804665835SMaxpicca-Li loadAccessEntry.pred_way_num := ldu(i).io.lsu.debug_s2_pred_way_num 139904665835SMaxpicca-Li loadAccessEntry.real_way_num := ldu(i).io.lsu.debug_s2_real_way_num 140004665835SMaxpicca-Li loadAccessEntry.dm_way_num := ldu(i).io.lsu.debug_s2_dm_way_num 140104665835SMaxpicca-Li loadAccessTable.log( 140204665835SMaxpicca-Li data = loadAccessEntry, 140304665835SMaxpicca-Li en = isWriteLoadAccessTable.orR && ldu(i).io.lsu.resp.valid, 140404665835SMaxpicca-Li site = siteName + "_loadpipe" + i.toString, 140504665835SMaxpicca-Li clock = clock, 140604665835SMaxpicca-Li reset = reset 140704665835SMaxpicca-Li ) 140804665835SMaxpicca-Li } 140904665835SMaxpicca-Li 14101f0e2dc7SJiawei Lin //---------------------------------------- 14110d32f713Shappy-lx // Sta pipe 141246ba64e8Ssfencevma for (w <- 0 until StorePipelineWidth) { 14130d32f713Shappy-lx stu(w).io.lsu <> io.lsu.sta(w) 14140d32f713Shappy-lx } 14150d32f713Shappy-lx 14160d32f713Shappy-lx //---------------------------------------- 14171f0e2dc7SJiawei Lin // atomics 14181f0e2dc7SJiawei Lin // atomics not finished yet 14195adc4829SYanqin Li val atomic_resp_valid = mainPipe.io.atomic_resp.valid && mainPipe.io.atomic_resp.bits.isAMO 14205adc4829SYanqin Li io.lsu.atomics.resp.valid := RegNext(atomic_resp_valid) 14215adc4829SYanqin Li io.lsu.atomics.resp.bits := RegEnable(mainPipe.io.atomic_resp.bits, atomic_resp_valid) 142262cb71fbShappy-lx io.lsu.atomics.block_lr := mainPipe.io.block_lr 14231f0e2dc7SJiawei Lin 14241f0e2dc7SJiawei Lin // Request 142508b0bc30Shappy-lx val missReqArb = Module(new TreeArbiter(new MissReq, MissReqPortCount)) 142608b0bc30Shappy-lx // seperately generating miss queue enq ready for better timeing 142708b0bc30Shappy-lx val missReadyGen = Module(new MissReadyGen(MissReqPortCount)) 14281f0e2dc7SJiawei Lin 1429a98b054bSWilliam Wang missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 143008b0bc30Shappy-lx missReadyGen.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 143108b0bc30Shappy-lx for (w <- 0 until backendParams.LduCnt) { 143208b0bc30Shappy-lx missReqArb.io.in(w + 1) <> ldu(w).io.miss_req 143308b0bc30Shappy-lx missReadyGen.io.in(w + 1) <> ldu(w).io.miss_req 143408b0bc30Shappy-lx } 14351f0e2dc7SJiawei Lin 1436fa9ac9b6SWilliam Wang for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp := missQueue.io.resp } 1437fa9ac9b6SWilliam Wang mainPipe.io.miss_resp := missQueue.io.resp 1438683c1411Shappy-lx 14390d32f713Shappy-lx if(StorePrefetchL1Enabled) { 144008b0bc30Shappy-lx for (w <- 0 until backendParams.StaCnt) { 144108b0bc30Shappy-lx missReqArb.io.in(1 + backendParams.LduCnt + w) <> stu(w).io.miss_req 144208b0bc30Shappy-lx missReadyGen.io.in(1 + backendParams.LduCnt + w) <> stu(w).io.miss_req 144308b0bc30Shappy-lx } 14440d32f713Shappy-lx }else { 1445d7739d95Ssfencevma for (w <- 0 until backendParams.StaCnt) { stu(w).io.miss_req.ready := false.B } 14460d32f713Shappy-lx } 14470d32f713Shappy-lx 144846ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 144946ba64e8Ssfencevma val HybridLoadReqPort = HybridLoadReadBase + i 145046ba64e8Ssfencevma val HybridStoreReqPort = HybridStoreReadBase + i 145146ba64e8Ssfencevma val HybridMissReqPort = HybridMissReqBase + i 145246ba64e8Ssfencevma 145346ba64e8Ssfencevma ldu(HybridLoadReqPort).io.miss_req.ready := false.B 145446ba64e8Ssfencevma stu(HybridStoreReqPort).io.miss_req.ready := false.B 145546ba64e8Ssfencevma 145646ba64e8Ssfencevma if (StorePrefetchL1Enabled) { 145746ba64e8Ssfencevma when (ldu(HybridLoadReqPort).io.miss_req.valid) { 145846ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 145908b0bc30Shappy-lx missReadyGen.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 146046ba64e8Ssfencevma } .otherwise { 146146ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> stu(HybridStoreReqPort).io.miss_req 146208b0bc30Shappy-lx missReadyGen.io.in(HybridMissReqPort) <> stu(HybridStoreReqPort).io.miss_req 146346ba64e8Ssfencevma } 146446ba64e8Ssfencevma } else { 146546ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 146608b0bc30Shappy-lx missReadyGen.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 146746ba64e8Ssfencevma } 146846ba64e8Ssfencevma } 146946ba64e8Ssfencevma 147008b0bc30Shappy-lx for(w <- 0 until LoadPipelineWidth) { 147108b0bc30Shappy-lx wb.io.miss_req_conflict_check(w) := ldu(w).io.wbq_conflict_check 147208b0bc30Shappy-lx ldu(w).io.wbq_block_miss_req := wb.io.block_miss_req(w) 147308b0bc30Shappy-lx } 147446ba64e8Ssfencevma 147508b0bc30Shappy-lx wb.io.miss_req_conflict_check(3) := mainPipe.io.wbq_conflict_check 147608b0bc30Shappy-lx mainPipe.io.wbq_block_miss_req := wb.io.block_miss_req(3) 14771f0e2dc7SJiawei Lin 147808b0bc30Shappy-lx wb.io.miss_req_conflict_check(4).valid := missReqArb.io.out.valid 147908b0bc30Shappy-lx wb.io.miss_req_conflict_check(4).bits := missReqArb.io.out.bits.addr 148008b0bc30Shappy-lx missQueue.io.wbq_block_miss_req := wb.io.block_miss_req(4) 148108b0bc30Shappy-lx 1482a98b054bSWilliam Wang missReqArb.io.out <> missQueue.io.req 148308b0bc30Shappy-lx missReadyGen.io.queryMQ <> missQueue.io.queryMQ 1484dc4fac13SCharlieLiu io.cmoOpReq <> missQueue.io.cmo_req 1485dc4fac13SCharlieLiu io.cmoOpResp <> missQueue.io.cmo_resp 14861f0e2dc7SJiawei Lin 1487e50f3145Ssfencevma for (w <- 0 until LoadPipelineWidth) { ldu(w).io.mq_enq_cancel := missQueue.io.mq_enq_cancel } 1488e50f3145Ssfencevma 14896008d57dShappy-lx XSPerfAccumulate("miss_queue_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) >= 1.U) 14906008d57dShappy-lx XSPerfAccumulate("miss_queue_muti_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) > 1.U) 14916b5c3d02Shappy-lx 14926b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) >= 1.U) 14936b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_muti_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U) 14946b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_muti_enq_but_not_fire", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U && PopCount(VecInit(missReqArb.io.in.map(_.fire))) === 0.U) 14956008d57dShappy-lx 1496683c1411Shappy-lx // forward missqueue 1497683c1411Shappy-lx (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i))) 1498683c1411Shappy-lx 14991f0e2dc7SJiawei Lin // refill to load queue 1500692e2fafSHuijin Li // io.lsu.lsq <> missQueue.io.refill_to_ldq 15011f0e2dc7SJiawei Lin 15021f0e2dc7SJiawei Lin // tilelink stuff 15031f0e2dc7SJiawei Lin bus.a <> missQueue.io.mem_acquire 15041f0e2dc7SJiawei Lin bus.e <> missQueue.io.mem_finish 1505ad3ba452Szhanglinjuan missQueue.io.probe_addr := bus.b.bits.address 15067ecd6591SCharlie Liu missQueue.io.replace_addr := mainPipe.io.replace_addr 1507ad3ba452Szhanglinjuan 15085adc4829SYanqin Li missQueue.io.main_pipe_resp.valid := RegNext(mainPipe.io.atomic_resp.valid) 15095adc4829SYanqin Li missQueue.io.main_pipe_resp.bits := RegEnable(mainPipe.io.atomic_resp.bits, mainPipe.io.atomic_resp.valid) 15101f0e2dc7SJiawei Lin 15111f0e2dc7SJiawei Lin //---------------------------------------- 15121f0e2dc7SJiawei Lin // probe 15131f0e2dc7SJiawei Lin // probeQueue.io.mem_probe <> bus.b 15141f0e2dc7SJiawei Lin block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 1515ad3ba452Szhanglinjuan probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 1516300ded30SWilliam Wang probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set 15171f0e2dc7SJiawei Lin 1518ffd3154dSCharlieLiu val refill_req = RegNext(missQueue.io.main_pipe_req.valid && ((missQueue.io.main_pipe_req.bits.isLoad) | (missQueue.io.main_pipe_req.bits.isStore))) 15191f0e2dc7SJiawei Lin //---------------------------------------- 15201f0e2dc7SJiawei Lin // mainPipe 1521ad3ba452Szhanglinjuan // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe, 1522ad3ba452Szhanglinjuan // block the req in main pipe 1523be007c1eSCharlieLiu probeQueue.io.pipe_req <> mainPipe.io.probe_req 1524be007c1eSCharlieLiu io.lsu.store.req <> mainPipe.io.store_req 15251f0e2dc7SJiawei Lin 15265adc4829SYanqin Li io.lsu.store.replay_resp.valid := RegNext(mainPipe.io.store_replay_resp.valid) 15275adc4829SYanqin Li io.lsu.store.replay_resp.bits := RegEnable(mainPipe.io.store_replay_resp.bits, mainPipe.io.store_replay_resp.valid) 1528ad3ba452Szhanglinjuan io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp 15291f0e2dc7SJiawei Lin 1530ffd3154dSCharlieLiu mainPipe.io.atomic_req <> io.lsu.atomics.req 15311f0e2dc7SJiawei Lin 1532d67c873fSzhanglinjuan mainPipe.io.invalid_resv_set := RegNext( 1533d67c873fSzhanglinjuan wb.io.req.fire && 1534d67c873fSzhanglinjuan wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits && 1535d67c873fSzhanglinjuan mainPipe.io.lrsc_locked_block.valid 1536d67c873fSzhanglinjuan ) 15371f0e2dc7SJiawei Lin 1538ad3ba452Szhanglinjuan //---------------------------------------- 1539b36dd5fdSWilliam Wang // replace (main pipe) 1540ad3ba452Szhanglinjuan val mpStatus = mainPipe.io.status 1541ffd3154dSCharlieLiu mainPipe.io.refill_req <> missQueue.io.main_pipe_req 15421f0e2dc7SJiawei Lin 1543ffd3154dSCharlieLiu mainPipe.io.data_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B)) 1544ffd3154dSCharlieLiu mainPipe.io.tag_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B)) 1545c3a5fe5fShappy-lx mainPipe.io.wb_ready_dup := wb.io.req_ready_dup 1546c3a5fe5fShappy-lx 15471f0e2dc7SJiawei Lin //---------------------------------------- 15481f0e2dc7SJiawei Lin // wb 15491f0e2dc7SJiawei Lin // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 1550026615fcSWilliam Wang 1551578c21a4Szhanglinjuan wb.io.req <> mainPipe.io.wb 15521f0e2dc7SJiawei Lin bus.c <> wb.io.mem_release 1553ffd3154dSCharlieLiu // wb.io.release_wakeup := refillPipe.io.release_wakeup 1554ffd3154dSCharlieLiu // wb.io.release_update := mainPipe.io.release_update 1555ffd3154dSCharlieLiu //wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req 1556ffd3154dSCharlieLiu //wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp 1557ef3b5b96SWilliam Wang 1558935edac4STang Haojin io.lsu.release.valid := RegNext(wb.io.req.fire) 15595adc4829SYanqin Li io.lsu.release.bits.paddr := RegEnable(wb.io.req.bits.addr, wb.io.req.fire) 1560ef3b5b96SWilliam Wang // Note: RegNext() is required by: 1561ef3b5b96SWilliam Wang // * load queue released flag update logic 1562ef3b5b96SWilliam Wang // * load / load violation check logic 1563ef3b5b96SWilliam Wang // * and timing requirements 1564ef3b5b96SWilliam Wang // CHANGE IT WITH CARE 15651f0e2dc7SJiawei Lin 15661f0e2dc7SJiawei Lin // connect bus d 15671f0e2dc7SJiawei Lin missQueue.io.mem_grant.valid := false.B 15681f0e2dc7SJiawei Lin missQueue.io.mem_grant.bits := DontCare 15691f0e2dc7SJiawei Lin 15701f0e2dc7SJiawei Lin wb.io.mem_grant.valid := false.B 15711f0e2dc7SJiawei Lin wb.io.mem_grant.bits := DontCare 15721f0e2dc7SJiawei Lin 15731f0e2dc7SJiawei Lin // in L1DCache, we ony expect Grant[Data] and ReleaseAck 15741f0e2dc7SJiawei Lin bus.d.ready := false.B 1575dc4fac13SCharlieLiu when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.CBOAck) { 15761f0e2dc7SJiawei Lin missQueue.io.mem_grant <> bus.d 15771f0e2dc7SJiawei Lin } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 15781f0e2dc7SJiawei Lin wb.io.mem_grant <> bus.d 15791f0e2dc7SJiawei Lin } .otherwise { 1580935edac4STang Haojin assert (!bus.d.fire) 15811f0e2dc7SJiawei Lin } 15821f0e2dc7SJiawei Lin 15831f0e2dc7SJiawei Lin //---------------------------------------- 15840d32f713Shappy-lx // Feedback Direct Prefetch Monitor 15850d32f713Shappy-lx fdpMonitor.io.refill := missQueue.io.prefetch_info.fdp.prefetch_monitor_cnt 15860d32f713Shappy-lx fdpMonitor.io.timely.late_prefetch := missQueue.io.prefetch_info.fdp.late_miss_prefetch 15870d32f713Shappy-lx fdpMonitor.io.accuracy.total_prefetch := missQueue.io.prefetch_info.fdp.total_prefetch 15880d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { 15890d32f713Shappy-lx if(w == 0) { 15900d32f713Shappy-lx fdpMonitor.io.accuracy.useful_prefetch(w) := ldu(w).io.prefetch_info.fdp.useful_prefetch 15910d32f713Shappy-lx }else { 15920d32f713Shappy-lx fdpMonitor.io.accuracy.useful_prefetch(w) := Mux(same_cycle_update_pf_flag, false.B, ldu(w).io.prefetch_info.fdp.useful_prefetch) 15930d32f713Shappy-lx } 15940d32f713Shappy-lx } 15950d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.cache_pollution(w) := ldu(w).io.prefetch_info.fdp.pollution } 15960d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.demand_miss(w) := ldu(w).io.prefetch_info.fdp.demand_miss } 15977cf78eb2Shappy-lx fdpMonitor.io.debugRolling := io.debugRolling 15980d32f713Shappy-lx 15990d32f713Shappy-lx //---------------------------------------- 16000d32f713Shappy-lx // Bloom Filter 1601ffd3154dSCharlieLiu // bloomFilter.io.set <> missQueue.io.bloom_filter_query.set 1602ffd3154dSCharlieLiu // bloomFilter.io.clr <> missQueue.io.bloom_filter_query.clr 1603ffd3154dSCharlieLiu bloomFilter.io.set <> mainPipe.io.bloom_filter_query.set 1604ffd3154dSCharlieLiu bloomFilter.io.clr <> mainPipe.io.bloom_filter_query.clr 16050d32f713Shappy-lx 16060d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.query(w) <> ldu(w).io.bloom_filter_query.query } 16070d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.resp(w) <> ldu(w).io.bloom_filter_query.resp } 16080d32f713Shappy-lx 16090d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { counterFilter.io.ld_in(w) <> ldu(w).io.counter_filter_enq } 16100d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { counterFilter.io.query(w) <> ldu(w).io.counter_filter_query } 16110d32f713Shappy-lx 16120d32f713Shappy-lx //---------------------------------------- 1613ad3ba452Szhanglinjuan // replacement algorithm 1614ad3ba452Szhanglinjuan val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets) 16150d32f713Shappy-lx val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) ++ stu.map(_.io.replace_way) 161604665835SMaxpicca-Li 161704665835SMaxpicca-Li if (dwpuParam.enCfPred) { 16184a0e27ecSYanqin Li val victimList = VictimList(nSets) 1619ad3ba452Szhanglinjuan replWayReqs.foreach { 1620ad3ba452Szhanglinjuan case req => 1621ad3ba452Szhanglinjuan req.way := DontCare 162204665835SMaxpicca-Li when(req.set.valid) { 162304665835SMaxpicca-Li when(victimList.whether_sa(req.set.bits)) { 162404665835SMaxpicca-Li req.way := replacer.way(req.set.bits) 162504665835SMaxpicca-Li }.otherwise { 162604665835SMaxpicca-Li req.way := req.dmWay 162704665835SMaxpicca-Li } 162804665835SMaxpicca-Li } 162904665835SMaxpicca-Li } 163004665835SMaxpicca-Li } else { 163104665835SMaxpicca-Li replWayReqs.foreach { 163204665835SMaxpicca-Li case req => 163304665835SMaxpicca-Li req.way := DontCare 163404665835SMaxpicca-Li when(req.set.valid) { 163504665835SMaxpicca-Li req.way := replacer.way(req.set.bits) 163604665835SMaxpicca-Li } 163704665835SMaxpicca-Li } 1638ad3ba452Szhanglinjuan } 1639ad3ba452Szhanglinjuan 1640ad3ba452Szhanglinjuan val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq( 164192816bbcSWilliam Wang mainPipe.io.replace_access 16420d32f713Shappy-lx ) ++ stu.map(_.io.replace_access) 1643ad3ba452Szhanglinjuan val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W)))) 1644ad3ba452Szhanglinjuan touchWays.zip(replAccessReqs).foreach { 1645ad3ba452Szhanglinjuan case (w, req) => 1646ad3ba452Szhanglinjuan w.valid := req.valid 1647ad3ba452Szhanglinjuan w.bits := req.bits.way 1648ad3ba452Szhanglinjuan } 1649ad3ba452Szhanglinjuan val touchSets = replAccessReqs.map(_.bits.set) 1650ad3ba452Szhanglinjuan replacer.access(touchSets, touchWays) 1651ad3ba452Szhanglinjuan 1652ad3ba452Szhanglinjuan //---------------------------------------- 16531f0e2dc7SJiawei Lin // assertions 16541f0e2dc7SJiawei Lin // dcache should only deal with DRAM addresses 165545def856STang Haojin import freechips.rocketchip.util._ 1656935edac4STang Haojin when (bus.a.fire) { 16574a2e3becSTang Haojin assert(PmemRanges.map(range => bus.a.bits.address.inRange(range._1.U, range._2.U)).reduce(_ || _)) 16581f0e2dc7SJiawei Lin } 1659935edac4STang Haojin when (bus.b.fire) { 16604a2e3becSTang Haojin assert(PmemRanges.map(range => bus.b.bits.address.inRange(range._1.U, range._2.U)).reduce(_ || _)) 16611f0e2dc7SJiawei Lin } 1662935edac4STang Haojin when (bus.c.fire) { 16634a2e3becSTang Haojin assert(PmemRanges.map(range => bus.c.bits.address.inRange(range._1.U, range._2.U)).reduce(_ || _)) 16641f0e2dc7SJiawei Lin } 16651f0e2dc7SJiawei Lin 16661f0e2dc7SJiawei Lin //---------------------------------------- 16671f0e2dc7SJiawei Lin // utility functions 16681f0e2dc7SJiawei Lin def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 16691f0e2dc7SJiawei Lin sink.valid := source.valid && !block_signal 16701f0e2dc7SJiawei Lin source.ready := sink.ready && !block_signal 16711f0e2dc7SJiawei Lin sink.bits := source.bits 16721f0e2dc7SJiawei Lin } 16731f0e2dc7SJiawei Lin 1674ffd3154dSCharlieLiu 16751f0e2dc7SJiawei Lin //---------------------------------------- 1676e19f7967SWilliam Wang // Customized csr cache op support 1677e19f7967SWilliam Wang val cacheOpDecoder = Module(new CSRCacheOpDecoder("dcache", CacheInstrucion.COP_ID_DCACHE)) 1678e19f7967SWilliam Wang cacheOpDecoder.io.csr <> io.csr 1679c3a5fe5fShappy-lx bankedDataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1680c3a5fe5fShappy-lx // dup cacheOp_req_valid 1681779109e3Slixin bankedDataArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1682c3a5fe5fShappy-lx // dup cacheOp_req_bits_opCode 1683779109e3Slixin bankedDataArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1684c3a5fe5fShappy-lx 1685e19f7967SWilliam Wang tagArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 1686c3a5fe5fShappy-lx // dup cacheOp_req_valid 1687779109e3Slixin tagArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) } 1688c3a5fe5fShappy-lx // dup cacheOp_req_bits_opCode 1689779109e3Slixin tagArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) } 1690e47fc57cSlixin 1691e19f7967SWilliam Wang cacheOpDecoder.io.cache.resp.valid := bankedDataArray.io.cacheOp.resp.valid || 1692e19f7967SWilliam Wang tagArray.io.cacheOp.resp.valid 1693e19f7967SWilliam Wang cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 1694e19f7967SWilliam Wang bankedDataArray.io.cacheOp.resp.valid -> bankedDataArray.io.cacheOp.resp.bits, 1695e19f7967SWilliam Wang tagArray.io.cacheOp.resp.valid -> tagArray.io.cacheOp.resp.bits, 1696e19f7967SWilliam Wang )) 1697026615fcSWilliam Wang cacheOpDecoder.io.error := io.error 169841b68474SWilliam Wang assert(!((bankedDataArray.io.cacheOp.resp.valid +& tagArray.io.cacheOp.resp.valid) > 1.U)) 1699e19f7967SWilliam Wang 1700e19f7967SWilliam Wang //---------------------------------------- 17011f0e2dc7SJiawei Lin // performance counters 1702935edac4STang Haojin val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire)) 17031f0e2dc7SJiawei Lin XSPerfAccumulate("num_loads", num_loads) 17041f0e2dc7SJiawei Lin 17051f0e2dc7SJiawei Lin io.mshrFull := missQueue.io.full 1706ad3ba452Szhanglinjuan 1707ad3ba452Szhanglinjuan // performance counter 1708ffd3154dSCharlieLiu // val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType)) 1709ffd3154dSCharlieLiu // val st_access = Wire(ld_access.last.cloneType) 1710ffd3154dSCharlieLiu // ld_access.zip(ldu).foreach { 1711ffd3154dSCharlieLiu // case (a, u) => 17125adc4829SYanqin Li // a.valid := RegNext(u.io.lsu.req.fire) && !u.io.lsu.s1_kill 17135adc4829SYanqin Li // a.bits.idx := RegEnable(get_idx(u.io.lsu.req.bits.vaddr), u.io.lsu.req.fire) 1714ffd3154dSCharlieLiu // a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache) 1715ffd3154dSCharlieLiu // } 17165adc4829SYanqin Li // st_access.valid := RegNext(mainPipe.io.store_req.fire) 17175adc4829SYanqin Li // st_access.bits.idx := RegEnable(get_idx(mainPipe.io.store_req.bits.vaddr), mainPipe.io.store_req.fire) 17185adc4829SYanqin Li // st_access.bits.tag := RegEnable(get_tag(mainPipe.io.store_req.bits.addr), mainPipe.io.store_req.fire) 1719ffd3154dSCharlieLiu // val access_info = ld_access.toSeq ++ Seq(st_access) 17205adc4829SYanqin Li // val early_replace = RegNext(missQueue.io.debug_early_replace) // TODO: clock gate 1721ffd3154dSCharlieLiu // val access_early_replace = access_info.map { 1722ffd3154dSCharlieLiu // case acc => 1723ffd3154dSCharlieLiu // Cat(early_replace.map { 1724ffd3154dSCharlieLiu // case r => 1725ffd3154dSCharlieLiu // acc.valid && r.valid && 1726ffd3154dSCharlieLiu // acc.bits.tag === r.bits.tag && 1727ffd3154dSCharlieLiu // acc.bits.idx === r.bits.idx 1728ffd3154dSCharlieLiu // }) 1729ffd3154dSCharlieLiu // } 1730ffd3154dSCharlieLiu // XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace))) 1731cd365d4cSrvcoresjw 17321ca0e4f3SYinan Xu val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents) 17331ca0e4f3SYinan Xu generatePerfEvent() 17341f0e2dc7SJiawei Lin} 17351f0e2dc7SJiawei Lin 17361f0e2dc7SJiawei Linclass AMOHelper() extends ExtModule { 17371f0e2dc7SJiawei Lin val clock = IO(Input(Clock())) 17381f0e2dc7SJiawei Lin val enable = IO(Input(Bool())) 17391f0e2dc7SJiawei Lin val cmd = IO(Input(UInt(5.W))) 17401f0e2dc7SJiawei Lin val addr = IO(Input(UInt(64.W))) 17411f0e2dc7SJiawei Lin val wdata = IO(Input(UInt(64.W))) 17421f0e2dc7SJiawei Lin val mask = IO(Input(UInt(8.W))) 17431f0e2dc7SJiawei Lin val rdata = IO(Output(UInt(64.W))) 17441f0e2dc7SJiawei Lin} 17451f0e2dc7SJiawei Lin 1746*72dab974Scz4eclass DCacheWrapper()(implicit p: Parameters) extends LazyModule 1747*72dab974Scz4e with HasXSParameter 1748*72dab974Scz4e with HasDCacheParameters 1749*72dab974Scz4e{ 175095e60e55STang Haojin override def shouldBeInlined: Boolean = false 17511f0e2dc7SJiawei Lin 17524f94c0c6SJiawei Lin val useDcache = coreParams.dcacheParametersOpt.nonEmpty 17534f94c0c6SJiawei Lin val clientNode = if (useDcache) TLIdentityNode() else null 17544f94c0c6SJiawei Lin val dcache = if (useDcache) LazyModule(new DCache()) else null 17554f94c0c6SJiawei Lin if (useDcache) { 17561f0e2dc7SJiawei Lin clientNode := dcache.clientNode 17571f0e2dc7SJiawei Lin } 1758*72dab974Scz4e val uncacheNode = OptionWrapper(cacheCtrlParamsOpt.isDefined, TLIdentityNode()) 1759*72dab974Scz4e require( 1760*72dab974Scz4e (uncacheNode.isDefined && dcache.cacheCtrlOpt.isDefined) || 1761*72dab974Scz4e (!uncacheNode.isDefined && !dcache.cacheCtrlOpt.isDefined), "uncacheNode and ctrlUnitOpt are not connected!") 1762*72dab974Scz4e if (uncacheNode.isDefined && dcache.cacheCtrlOpt.isDefined) { 1763*72dab974Scz4e dcache.cacheCtrlOpt.get.node := uncacheNode.get 1764*72dab974Scz4e } 17651f0e2dc7SJiawei Lin 1766935edac4STang Haojin class DCacheWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents { 17671f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 17681ca0e4f3SYinan Xu val perfEvents = if (!useDcache) { 17694f94c0c6SJiawei Lin // a fake dcache which uses dpi-c to access memory, only for debug usage! 17701f0e2dc7SJiawei Lin val fake_dcache = Module(new FakeDCache()) 17711f0e2dc7SJiawei Lin io <> fake_dcache.io 17721ca0e4f3SYinan Xu Seq() 17731f0e2dc7SJiawei Lin } 17741f0e2dc7SJiawei Lin else { 17751f0e2dc7SJiawei Lin io <> dcache.module.io 17761ca0e4f3SYinan Xu dcache.module.getPerfEvents 17771f0e2dc7SJiawei Lin } 17781ca0e4f3SYinan Xu generatePerfEvent() 17791f0e2dc7SJiawei Lin } 1780935edac4STang Haojin 1781935edac4STang Haojin lazy val module = new DCacheWrapperImp(this) 17821f0e2dc7SJiawei Lin}